dfhsoft

  C++博客 :: 首页 :: 联系 :: 聚合  :: 管理
  61 Posts :: 62 Stories :: 3 Comments :: 0 Trackbacks

常用链接

留言簿(7)

我参与的团队

搜索

  •  

最新评论

阅读排行榜

评论排行榜

#

Eplan Cabinet v2.0.5.4291 MultiLanguage-ISO 1CD

Eplan Electric P8 v2.1 2.1.4 5325 Win32_64-ISO 1DVD(电气工程项目设计和管理)

EPLAN Fluid v1.84 1CD

EPLAN PPE v1.84 1CD

Eplan Pro Panel Professional v2.1 5325 Win32_64 1DVD

Eplan.Professional.v5.5-ISO 1CD(电子工程软件)

 

HyperLynx Simulation Software v4.66 1CD (PCB仿真软件)

HyperLynx Simulation Software v5.01 Update 1CD

 

MetaWare.Arm.v4.5A .Working 1CD

Merco.PCB.Elegance.v2.5 1CD

Metapod.PCB.v2.4 1CD

MikroElektronika.MikroC.for.dsPIC.30-33.and.PIC.24.v4.0.0.0 1CD

Modelsim Xilinx Edition II V5.7C 1CD

Nassda.Hsim.v2.0.DateCode.01222003 1CD

NI.Circuit.Design.Suite.Power.Pro.v11.0.1 1CD

NI.Circuit.Design.Suite.Pro.v11.0 1CD(NI电路设计套件 电路图捕捉, SPICE仿真和PCB布局)

PathLoss.v4.0 1CD(为频率在 30 MHz to 100 GHz之间的无线电通讯的通道设计工具)

PeakVHDL Pro v4.21a 1CD(一个VHDL通用仿真器)

 

PicBasic Pro v2.46 1CD

Picbasic Pro Compiler v2.42 1CD

PicBasic Plus v1.10 1CD

--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------
 

Advanced PCB Design System v2.5 1CD

Imperas Open Virtual Platforms (OVP) 2011.09.06.3 1CD

Impulse.CoDeveloper.Universal.Pro.v3.60.a.2 1CD(用于开发FPGAs和基于FPGA的高性能电脑系统的一款C语言开发系统)

Impulse.CoDeveloper.Universal.v3.50.b.2.Linux 1CD

LinkCAD v5.7.0 1CD

Pulsonix.Advanced.Electronics.Design.System.v2.0(PCB电路板设计工具)

RSI BOM Explorer v6.7.017 1CD

 

Symphony.EDA.VHDL.Simili.Sonata.Professional.v3.1.13 1CD

SymphonyEDA.VHDL.Simili.v2.0 1CD

Simplis v4.2 1CD

Sigrity SpeedXP Suite v10.1 Win32-ISO 1CD

Sigrity SpeedXP Suite v11.0 Win64-ISO 1CD

Sigrity OptimizePI v2.0.11.10-ISO 1CD

Sigrity SpeedPKG v3.0-ISO 1CD

Sigrity UPD Viewer 1CD

Sigrity XcitePI v5.0 Win32-ISO 1CD

Systat.PeakFit.v4.11.WinAll 1CD(处理信号噪声的软件,可以自动分离和分析信号)

SPEED2000 DEMO 1CD

SPEED2000 user Manual 

 

Texas.Instruments.OMAP.v2.ISO 1CD

TimeGen 3.1 Pro 1CD

Timing.Designer.Professional.v5.303.WINNT2K 1CD

Trolltech Qt Commercial v4.4.0 1CD

 

MULTSIM v10.1(中文版,电路设计套件 电路图捕捉, SPICE仿真和PCB布局)

Ultiboard 2001 1CD(multsim2001的PCB工具)

ULTImate Technology Ultiboard v5.72-ISO 1CD

VeriTools.Undertow.v9.0.DateCode.20020408 1CD

VIRTINS Multi-Instrument v3.2 1CD(虚拟示波器软件)

WinELSO v6.2-ISO 1CD

Workview Office v7.5 1CD

X-HDL 4.2.1 Win32 1CD

 

Zuken.E3.Series.v2009-ISO 1DVD

Zeland IE3D v15.0 1CD(时域有限差分全三维电磁场仿真软件包)

Zeland IE3D and Fidelity v9.0

Zeland.Product.Suite.v12 1CD(平面和三维电磁场仿真与优化软件包)

     

Electronics Workbench产品:

Electronics.Workbench.Ultiboard.v9.0.155 1CD(印刷电路板设计工具)

Electronics.Workbench.Ultiroute.for.Ultiboard.v9 1CD(轻松的完成大规模继集成电路,多层PCB板及类似于

                                                      BGA封装模式的多针脚电子元件的设计)

Electronics.Packaging.Designer.v7.2 1CD(设计复杂的电路板,有多种软件命令,可以使用EPD创建组建放置于你的设计中)

 

Multisim v11.0 1CD(电子电路设计、信号分析)

Multisim v10.1-ISO 1CD(中文汉化版)

Multisim 2001增强专业版汉化文件

Multisim 2001简明教程(中文)

 

EMSS产品:

FEKO v6.0 Win32 1CD(复杂形状三维物体的电磁场分析软件)

FEKO v6.0 Win64 1CD

FEKO 5.2 中文手册

FEKO 视频教学

 

SuperSpice产品:

SuperSpice v2.2.147 1CD(一款全自动的SPICE软件 )

 

Polar SB200a Professional v6.0 1CD(印刷电路板设计、测试系统)

Polar.SI9000E.Field.Solver.v6.00 1CD(印刷电路板阻抗计算与设计工具)

Polar.Instruments.SI8000.v6.1.0.WinALL 1CD(印刷电路板阻抗计算与设计工具)

Polar.Instruments.SI9000.v7.10.WinALL 1CD(新的Si9000传输线场解决方案整合了快速精确的无损失和独立于频率的PCB传输线建模)

 

QCAD v29.0 Win9xNT 1CD (全面的电路设计软件,它包括电路图以及PCB(印刷电路板)模块,

                        电路图模块支持简单层次,复杂层次)

TrepCAD St v3.3.1 1CD

 

CAM Expert v2.0.4.8 1CD(QCAD的扩展工具。可以自动生成G-Code, HP/GL以及其它格式)

 

CAMCAD & Translator v4.3.39 1CD

RSI CAMCAD Pro v4.5.1003 1CD(CAD/CAM辅助软件,用来进行数据的预处理以及PCB板的设计)

 

Ariel.Performance.Analysis.System.v2002.Rev1 1CD

Circuit.Shop.v2.03.WinALL 1CD(图形化CAD电路设计工具)

FpgaExpress v3.5.1 Altera Oem 1CD

Holophase.CirCAD.v4.20e(较简单的电路图设计软件)

Microsim Design Center v5.1 1CD

SB200.StackUp.Builder.v6.2 1CD(用于快速建立电路板层的专业工具)

SB200.StackUp.Viewer.v6.2 1CD

Pulsonix 6.1 Build 4162 1CD(电子电路设计软件)

WinQcad v31.0 Win9x_NT 1CD(一款完善的电子线路设计系统,拥有图式控制平台与PCB(印刷电路板)设计两大模块,二者可单独或合并使用)

 

抄板软件4.2版 1CD

色环电阻计算软件01 1CD

世界三极管查询系统 V1.5 1CD

 

ALTERA产品:

Altera QUARTUS II DSP Builder v11.1 Windows 1CD

Altera Max Plus II 10.2 1CD

Altera Quartus II v.11.0 Complete Design Suite Win32_64-ISO 1DVD

Altera.QUARTUS.II.v10.SP1.Full.Working.Win32_64-ISO 1DVD(数字系统设计,是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具)

Altera.Quartus.II.v10.0.Linux-ISO 1DVD

Altera.QUARTUS.II.Nios.II.Embredded.Suite.v9.0.Windows-ISO(嵌入式开发)

Altera.QUARTUS.II.DSP.Bulider.v9.0-ISO 1CD

Altera.QUARTUS.II.v8.0.Modelsim.v6.1g-ISO 1CD

Altera.QUARTUS.II.Megacore.IP.Library.v7.2.SP3.Full.Working-ISO 1CD

Altera.Quartus.II.v5.0.Linux64-ISO 2CD
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

Altera.Edition.ModelSim.v6.5b 1CD

 

Coventor产品:

CoventorWare v2010.0 1CD(MEMS系统设计、制造和模拟软件)

CoventorWare v2004 1CD(MEMS系统设计、制造和模拟软件)

 

juspertor UG产品:

L-Editor v8.22 for Win32 1CD

LayoutEditor.v2009.10.14 1CD(设计和编辑的MEMS布局/集成电路制造软件)

LayoutEditor.v2009.10.14 Linux 1CD

 

Visionics产品

Visionics.EDWinXP.Professional.v1.80 1CD(电路设计工具,可以用来进行PCB的布局设计和编辑)

 

CADSOFT产品:

Cadsoft EAGLE Professional v5.11.0 1CD(设计印刷电路板(PCB)的软件)

CadSoft.Eagle.Professional.v5.11.0.Linux 1CD

CadSoft.Eagle.Professional.v5.7.0.MacOSX 1CD

Cadsoft EAGLE v4.13 Professional Bilingual for Linux 1CD(设计印刷电路板(PCB)的软件)
 

Accel-EDA v15.0 1CD

AdLabPlus.v3.1 1CD(电气工程软件,高级电机工程实验室。拥有20多个特性工具专为设计网络、传输线、变压器等设计)

Adlab.v2.31.WIN9X_NT_2K 1CD(电气工程软件,拥有20多个特性工具专为设计网络、传输线、变压器等设计)

B2.Spice.AD.Professional.v5.1.8 1CD(多模式模拟的电子自动化设计软件,纯数字模拟,快速精确,拥有功能强大的使用界面)

 

Comsystems.Integra.EDA.Tools.v4.0.SE.Pro 1CD(简体中文电路软件)

CSmith.v2.7(一个有许多高级功能的电机工程的制图软件,它包括:内含电气元件,S-参数块和和可利用的晶体元件,

            易于修改、布局、载入及保存电路图,输出S-参数块及完整的电路图等等)

DipTrace.v1.40 1CD(是一套完整的印刷电路板设计系统)

Eagle.PCB.v4.09R2-ISO 1CD

MemsCap.Mems.Pro.v4.0-ISO 1CD(微机电系统软件,包括编辑、设计规则校验、块放置和布线、3维模型生成和3维可视化)

Meta Post v3.3.1(带有ANSYS和METApsot的LS-DYNA的前后处理器)

 

MAX+PLUS II v10.2 完全版 1CD(英文版 ·专业数字集成电路设计软件最新版)

MAX+PLUS II v10.23 最新升级补丁

MAX+PLUS II 开发工具包

MAX+PLUS II 中文快速入门

MAX Plus II 教程

 

PSoC.Designer.Incl.C.Compiler.v4.0  1CD(高效能、可现场编程的混合讯号数组,针对消费性、工业、办公室

                                        自动化、电信、以及汽车等应用领域提供可量产的嵌入型控制功能)

干式变压器计算程序v2.3

 

Unsorted产品:

Modbus OPC Server v2.7 1CD

ModScan 32 v4.A00-04 1CD

ModSim 32 v4.A00-04 1CD

 

加拿大SES公司产品:

CDEGS.2000.v9.4.3-ISO 1CD(电力系统接地分析软件)
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

 

美国PTI公司产品:

PSS/E v32.03-ISO(大型电力系统仿真计算软件)

PSS/E v31.0-ISO 1CD

PSS E v31.20 Update Only 1CD

PSS Viper v3.0.4 1CD(电力工程的可视的仿真器)

PSS/E 中文操作手册

PSS/E 入门视频教程

 

加拿大马尼托巴产品:

PSCAD v4.21-ISO  1CD(一个快速、灵活和准确的电器设备和电力系统的电磁暂态仿真专业软件)

PSCAD v4.20 用户手册(英文版)

 

PLS CADD v9.20 1CD(电力架线设计软件)

 

Electrocon International Inc.产品:

Electrocon International CAPE 2010-ISO 1CD(分析和数据管理电力传输网络的保护系统)

 

CYME International产品:

Cyme.Cymcap.v4.6.R2 1CD(电力电缆安装载流容量和温升计算的工具)

Cyme.Cymdist.v4.7.R6 1CD(对平衡或者不平衡的三相、两相、单相的电力系统进行分析的工具软件)

CYME.Cymgrd.v6.3.R7 1CD

Cyme.Cymtcc.v4.5.R8 1CD(电力系统保护装置协调进行分析与研究的工具软件)

Cyme.Psaf.v3.1.R1.11 1CD(整合性电力系统分析软体系列,它的基本架构系独立于模拟模组的

                         型态与数量, 这些家族成员 ( 模拟模组 ) 都共用一个整合的电

                         网设备资料库。 PSAF 可执行广泛的电力系统分析工作:如,电力

                         潮流分析、紧急事故分析、电动机启动分析、短路故障分析、暂态

                         稳定度分析、谐波分析)

CGI CYME产品:

AutoTRAX EDA v9.20 1CD(电子线路板布线设计软件,它提供了实施当今复杂的电子PCB设计应必备的所有高级工具)

CCS 2.2 for C6000-ISO 1CD

CCS for PIC 3.227 1CD

CirMaker v6.2C 1CD(继电器设计软件)

Edsa Technical 2000 SP3.5 Rev1a-ISO 1CD(电力系统分析)

 

Active Factory v9.1.000.0216 Multilingual-ISO 1CD

ATPDRAW v3.4 for WinALL 1CD(电力系统电磁暂态仿真软件)

DIgSILENT PowerFactory v14.0.523.0 1CD

ICS.Triplex.ISaGRAF.v5.13.309 1CD(自动化和控制软件)

Industrial SQL Server v9.0.000.0341 Multilingual-ISO 1CD

InTouch v10.1-ISO 1CD(过程可视化软件)

ViDEC.MelSYS.v4.0.SP1.MultiLanguage-ISO 1CD(信息干扰调节系统)

Magnetics Designer v4.1 Build 252 1CD(变压器设计软件)

MyBPA 1.0  1CD

Magus Antennamagus v1.0 1CD(天线设计)

Neplan v5.35.WinALL 1CD

SKM PTW v6.0 full 1CD

Sonnet Suite Pro v13.52-ISO 1CD(三维高频电子设计)

Sonnet Suite Pro v13.52 Linux 1CD

 

Super.FinSim.v10.0.03 1CD(高性能仿真器)

Super.Finsim.v10.0.03.Linux 1CD

Super.Finsim.v9.3.44.Linux.64Bit 1CD

Super.FinSim.v10.0.03.Solaris 1CD

Super.Finsim.v6.2.09.Solaris.64Bit 1CD

 

Spectrum.Micro-Cap.v10.0.4.0.Full 1CD(集成模拟/数字电路的设计编辑、混合以及绘制草图和环境模拟的模拟软件)

SuperNEC v2.9-ISO 1CD(天线分析,基于MATLAB的输入、输出介面)

SynaptiCAD.AllProducts.v13.32a 1CD

SynaptiCAD.Product.Suite.v15.04a 1CD(SynaptiCAD公司出品的EDA(电子设计自动化)工具集合,包括HDL输入、

                                     仿真、 测试、波形分析、管脚映射工具等等,是一个很完整的EDA方案)

SynaptiCAD.Product.Suite.v15.00k.Linux 1CD

SynaptiCAD.AllProducts.v13.24a.SOLARIS 1CD

SynaptiCAD.v2v 1CD

SuperWORKS v7.0 完全破解版 1CD

SuperWORKS 网络版 R6.0  1CD(陕西利达电力电子有限责任公司以AutoCAD R14/2002为平台二次开发的专门用于电路图设计的软件)

 
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

TI.C5000.Code.Composer.Studio.v2.0-ISO 1CD

TI.Code Composer Studio v5.01 Platinum-ISO 1DVD(集成开发环境(IDE)白金版)

TI.Code.Composer.Studio.v2.2.for.C6X 1CD

TI.Msp430.KickStart.v3.01 1CD

TI-Nspire.Computer.Link.Software.MultiLanguage-ISO 2CD(芯片开发)

 

BPA 2006 1CD

DSA PowerTools v4.0-ISO 1CD

EMTPWorks v2.02 1CD

Gaia.v4.2.0.1.MultiLanguage.WinALL 1CD(应用于电力行业的,低压电网计算机辅助设计软件)

GENESIS32 v7.2 1CD(工控软件)

DAQFactory.Standard.v5.12.WinALL 1CD(组态软件DAQFactory(数采工厂)是一个完整的系统解决方案,它包容了数据采集 过程控制和数据分析,

                                     能从SerialRS232、OPC、DAQ、LabJack USB 设备、Modbus设备中采集数据, 并进行分析处理的软

                                     件。用于自动化控制、科学研究、教育等场合)

PCFLO v6.0 1CD(电力系统谐波仿真分析软件)

Power World Simulator v8.0 1CD

ScopeView v1.12 1CD

Vision.v5.7.3.1.MultiLanguage.WinALL(电力网络高级分析工具,用作输电、配电和工业电网的规划部署、设计和管理)

V-ELEQ 电气仿真软件 1CD

 

三相异步电机设计3.0 破解版 1CD

三相异步电机CAD系统 1CD

变压器设计大师2.0 1CD(破解版)

电力系统分析综合程序PSASP7.0-ISO 1CD

电力系统分析综合程序PSASP7.0 用户手册

混合发电系统模拟软件Hybrid2 v1.3e 1CD

整流变压器功率计算软件 PowerCalc

posted @ 2012-07-10 16:47 林玉 阅读(1724) | 评论 (2)编辑 收藏

FastAVR v4.0 1CD(以BASIC语言为基础的AVR开发平台)

FranklinC51 1CD(51单片机C语言开发环境)

FuzzyTECH Pro v5.54 1CD(单片机的模糊控制开发软件)

ICCV7 for AVR v7.19 1CD

ImageCraft.ICCAVR.Professional.v6.31a 1CD(编译器)

 

Keil C51 v.9.05 1CD

Keil.Professional.for.C51.v9.0 1CD(适用于8051单片机及衍生系列如Dallas 390/5240/400, Philips 51MX, 及Analog Devices 的MicroConverters)

Keil.Professional.for.C166.v6.11 1CD(适用于XC16x, C16x, 及 ST10系列)

Keil.Professional.for.C251.v4.53a 1CD(适用于251 Microcontroller微处理机系列)

Keil C51 v8.18 1CD

Keil MDK-ARM v4.22a 1CD(用来开发基于ARM核的系列微控制器的嵌入式应用程序)

Keil RL-ARM v4.13 1CD(镶入式单片机实时控制模拟编程开发工具)

Keil Software –Cx51 编译器用户手册 中文完整版(403页)

Keil uVision2软件中文入门教程

 

Matcom v4.5 1CD

Mplab.C18.v3.0 1CD(单片机开发软件)

Metrowerks Codewarrior for DSP56800 v5.02 1CD

Metrowerks Codewarrior v6.1 for Coldfire 1CD

PMA Software BlueControl v2.8 SR3 Multilingual 1CD 

PCWH v3.227 1CD

GX configuator-DP Ver.500 1CD

GX Developer v 8.0 1CD

三菱PLC编程软件

 

Magma Design Automation产品:

Magma v2005.05.12 Linux 1CD

Magma FineSim Pro v2010.08 Linux 1CD

Magma Siliconsmart 2010.10 Linux 1CD

Magma Talus v1.0.92 Linux32_64 1CD

 

Proteus Labcenter产品:

Labcenter.Electronics.Proteus.Pro.v7.8.SP2 1CD(电路分析实物仿真系统)

 

Metrowerks产品:

CodeWarrior Development Studio v9.3 1CD

CodeWarrior Development Studio v9.3 Addon 1CD

 

WISE Software Solutions, Inc.产品:

VisualCAM v16.1 SR3 1CD(电子装配制造中的表面贴装技术应用软件)

 

Mician产品:

Mician Microwave Wizard v7.5 Win32_64 1CD(波导设计软件)

 

Tektronix产品:

WaveStar.v2.6 1CD(示波器WaveStar软件(WSTRO)是简便的PC与TDS3000B系列直接的接口软件)

 

CoWare, Inc.产品:

Coware LisaTek.2005.1.1 for WinALL 1CD(嵌入式处理器设计及软件开发工具)
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

Coware LisaTek.2005.1.1 for Linux 1CD

CoWare.Processor.Designer(PD).v2010.1 1CD

Coware processor designer 2010.1 Linux 1CD

CoWare.Signal.Processing.Designer(SPD).v2010.1 1CD(信号处理)

CoWare SPW 2010 1CD

CoWare SPW 5.02-XP 1CD(主要进行以下两点改进。第一是与美国The MathWorks, Inc.的技术运算编程

                        及解析环境“MATLAB”互联,第二是全面更新GUI(图形用户界面))

 

ADI产品:

Visual DSP v3.50-ISO  1CD(美国模拟器件公司(ADI)发布的DSP开发工具)

Visual DSP.PlusPlus.v3.5.for.16.bit.WinALL 1CD

 

QNX产品:

QNX.Momentics.Development.Suite.Professional.Edition.v6.3-ISO 1CD

QNX Momentics Professional v6.2.1a-ISO 1CD

QNX.Neutrino8.v6.2.1.NC-ISO 1CD

QNX.Realtime.Platform.v6.10-ISO 1CD

 

ZUKEN产品:

Zuken.CR5000.Board.Designer/System.Designer.v12.0-ISO 2DVD

Zuken.CADSTAR.v12.1.WinNT_2K 1CD(基于PC的PCB设计解决方案)

Zuken.Cadstar.v12.1.SP 1 1CD

Zuken Cadstar 3D v5.0 1CD

Zuken.CadStar.Desktop.Design.v8.0 1CD

Zuken CADStar 中文培训手册

Zuken CR5000 中文教程

 

Zuken.Hotstage v4.21 1CD

Zuken Hot-Stage v4.03 WinNT 1CD

 

美国AWR产品:

AWR.Design.Environment.Vendor.Local.v8.0 1CD

AWR Design Environment v9.03.4959.1 1CD(射频/微波线路设计环境,整合了Microwave Office、Analog Office

                                       Visual System Simulator、Signal Ingegrity工具,将主要用于模拟电路

                                       和射频集成电路(RFIC)的设计、信号仿真、信号一致性检查集成到了一个界面中)

AWR.Design.Environment.v8.0.Documentation 1CD

AWR.Testwave.for.AWRDE.v2.06.Win32 1CD(须先安装AWR Design Environment v7.5)

AWR.Nuhertz.Filter.For.AWRDE.v5.14 1CD

Filter Wiz Pro v4.26 1CD(32位系统,滤波器电路设计软件,很好用!)

Nuhertz Technologies Filter Solutions 2009 1CD(滤波器设计软件)

Nuhertz Zmatch v4.0.4 1CD(负荷频率分析软件)

通用有源滤波器uaf42配套设计软件FILTER v4.2

 

enali产品:

Denali.Memory.Modeler.v2.9.24.WINNT 1CD(存储器模型程序)

Denali.PureSuite.v3.2.069.Linux32 1CD

Denali.PureSuite.v3.2.069.Linux64 1CD

Denali.PureSuite.v3.2.055.Linux.IA64 1CD

 

PCB Matrix产品:

PCBM LP Provisional v2009.20.00 1CD(原理图和PCB设计库的自动化生成EDA工具)

PCBM SymbolWizard Provisional v2.46.03 1CD

PCBM SYMWIZ v2.46.03 1CD

 

加拿大曼尼托巴HVDC研究中心产品:

ARM Developer Suite 1.2 1CD

ARM.Firmware.Suite.v1.4-ISO 1CD

ARM.RealView.Developer.Suite(RVDS).v4.1-ISO 1CD(ARM集成开发工具)

ARM SOC Designer v7.1 Linux 1CD

ARM Software Development Toolkit v 2.51 1CD

Arm & Mips 源代码

ARM结构和编程(中文书)

 
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

2D simpler算法源程序

3D simpler算法源程序

Atoll v2.8 1CD(无线规划软件)

Apsim 2003 1CD

AutoVue.Electro-Mechanical.Pro.v20.0.Win32 1CD(电子与机械工业文档查看、分析软件。内含强大的解决方案,并支持标准的问题跟踪系统)

AutoVue.SolidModel.Pro.v19.0.CHS 1CD

Bluespec.v2009.10B.Linux 1DVD

Bmp2Pcb v2.05 1CD(图形界面的BMP转PCB软件)

Cadint PCB v4.03 1CD

Chipsmith v3.8.1 1CD

CircuitMaker 2000 1CD(仿真继电器的软件)

Circuit.Shop.v2.03.WinALL 1CD

Circuit Wizard 1.15 1CD

Cohesion Design Systems v5.11 1CD

CopperCAM v2010.01.26 1CD(专业PCB雕刻软件)

CSiEDA v4.0 1CD(先进的电路设计软件)

                     

IMST.EMPIRE.XCcel.v5.50-ISO 1CD(采用FDTD的全三维高频电磁场仿真软件包)

 

MagCAD.v2.3.4.WinALL 1CD(简单实惠的磁场空间分布计算软件。这些磁场可以是由永久磁铁或者非线性线圈形成的区域)

 

E-Tools.E-Studio.Pro.v4.42.029a 1CD

Elanix SystemView v2006 1CD(信号处理系统模拟与分析工具)

Elcut 4.1 1CD

Electra Autorouter v2.7 1CD

EMIT.Maxwell.v5.0.3.5607 1CD(电磁物理学处理分析解决方案)

EMSight v1.54 1CD(电磁仿真器,可以分析高频,射频和微波以及天线电路的特性。 EMSight还可以分析具有无限多层介质片,

                   无限多个端口,并且介质层之间有互连的过条的任意平面电路)

Edison v4.0 1CD(中、英文破解版,电子实验室)

EWARM-EV v3.40A 1CD

Wade.Instruments.EZ.Schematics.v2.1.17 1CD(电气图纸设计软件)

FAISYN v2.2 1CD(一个滤波器设计软件)

Furret.PCB.v2.4 1CD

Forte Cynthesizer v3.60 Linux 1CD

Genesis Frontline v7.1 PCB Designer 1CD

Gemalto Developer Suite v3.4.2 1CD(无线通讯开发软件)

GT-Work 2 v2.90U Win32-ISO 1CD(触摸屏编程软件)

HDL Turbo Writer 6.0e 1CD(VHDL/verilog专用编辑器)

Hamic.v2.0.WinAL 1CD(电路计算器,可以计算电路的电阻,电压等)

IPC7351 LP Eval v4.20 1CD

IX1D.v3.35 1CD(一款1维直流(DC)电阻,诱发多倍性(IP),磁电的(MT)和电磁的地质探测重建软件)

KwickFit v5.2 1CD

LAVENIR v2001 1CD

Lattix.LDM.v5.0.5 1CD

Micrium μC/Probe v2.5 Build3891 1CD

Omninet v6.07 for Windows PCB转SCH软件(即PCB转为原理图)

RCP.Developer.v5.0.0 1CD

UC Gui v3.26 1CD

 

V-ELEQ 电气仿真系统1.10 1CD

V-ELEQ使用说明书 1CD

V-ELEQ视频演示 1CD

 

ParCAM v8.0c 1CD

ParCAM v7.26d 操作手冊

 

PC-Lint v9.0 1CD

PCB Investigator 3.41 1CD

PCB Wizard Pro v3.50 1CD

PowerLogic v1.1 1CD

ProfiCAD v6.1.4 1CD(电气原理图创建CAD工具)

Plexim.Plecs.Standalone.v3.2.3.for.Win32 1CD(独立的时域仿真的电力电子系统软件)

Plexim.Plecs.Standalone.v3.2.3.for.Win64 1CD

Plexim.Plecs.Standalone.v3.2.3.for.Linux 1CD

Plexim.Plecs.Standalone.v3.2.3.for.Linux64 1CD

Plexim.Plecs.Standalone.v3.2.3.for.MacOSX 1CD

Pioneer.Hill.Software.SpectraPLUS.v5.0.26.0E 1CD(频谱管理软件系统,为各种无线通信的规划和管理提供了专业的工具)

Docklight Scripting v1.9 1CD(通过COM,TCP和UDP串行通信协议的自动化测试工具)

MyCAD MyAnal v6.3 1CD(模拟电路设计工具)

MyCAD MyChip 2005 1CD(Layout设计工具)

MyCAD.MyLogic.Station v5.1 1CD (电路图Editor,逻辑Simulator仿真工具)

MyCAD.MyVHDL.v5.1 1CD (VHDLSimulator 仿真工具)

 

SourceBoost IDE v7.02 1CD

Spice Vision v2.1 for WinALL 1CD(一个很流行的通用型积体电路模拟程序,可处理非线性直流,非常线暂态等功能)

Spice Vision v2.1 for LINUX 1CD

Spyglss v4.2 Linux32 1CD

Spyglss v4.2 Linux64 1CD

 

Timegen v3.2 Pro 1CD

TinyCAD v2.80.00.396 1CD

Tina Pro v6.0 中文版

Tina.Industrial.Pro.v8.0.with Manual 1CD(英文版)

TINA.Pro 电子线路模拟仿真软件官方教程

 

Xilinx.AccelDSP.v9.1 1CD

Xilinx DSP Tools v9.2.01.1028 1CD

Xilinx.ChipScope.Pro.v10.1.Windows.32bit 1CD(用于Xilinx FPGA的先进的实时调试和验证工具)

Xilinx.ChipScope.Pro.v9.2i.Windows.64bit 1CD

Xilinx.ChipScope.Pro.v9.2i.Linux.32bit 1CD

Xilinx.ChipScope.Pro.v9.2i.Linux.64bit 1CD

Xilinx EDK v9.2.01i 1CD

Xilinx EDK 9.2i WinALL-ISO 1DVD(嵌入式开发套件(EDK)是用于设计嵌入式可编程系统的全面的解决方案)

Xilinx Foundation 4.1i-ISO 1CD

Xilinx Syetem Generator v8.2.01 1CD

Xilinx System Generaror v3.1 1CD

Xilinx 中文教程

Xilinx.ISE.Design.Suite.v13.1.WinALL-ISO 1DVD

Xilinx.ISE.Design.Suite.v13.1.Linux-ISO 1DVD

Xilinx.ISE.v7.1i.Spartan2.VirtexE.Devices 1CD

Xilinx.ISE.v7.1i.Spartan3E.Virtex3E.Devices 1CD

Xilinx.ISE.v7.1i.Linux 1CD

Xilinx.ISE.v7.1i.Linux.X64 1CD

Xilinx.Embedded.Development.Kit.v6.3i 1CD
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

Xilinx.Embedded.Development.Kit.v6.3i.Addon 1CD(帮助文件)

Xilinx.Embedded.Development.Kit.and.XPS.Ver7.1.Incl.Sp2.For.Win32.PROPER-ISO 1CD

Xilinx.Embedded.Development.Kit.and.XPS.Ver7.1.For.Linux-ISO 1CD

Xilinx.PlanAhead.Design.Analysis.Tool.v10.1-ISO 1CD(通过简化综合与布局布线间的步骤, 能使用户在设计中实现最高性能并极大的减少设计时间)

Xilinx.PlanAhead.v9.2.7.Linux 1CD

Xilinx.PlanAhead.v9.2.7.Solaris 1CD

Xilinx.TMRTool 9.2i 1CD

 

Cosmic.Software.Suite.v10.2008-ISO 1CD(嵌入式系统开发工具)

COSMIC.68332.Compiler.IDEA.and.ZAP.Sim v2.9p 1CD

COSMIC.ST7.Compiler.IDEA.and.ZAP.Sim.v4.5b 1CD(嵌入式系统开发工具包)

CosMIC STM8 16K C Compiler v4.2.8 1CD

mikroBasic.for.dsPIC30-33.and.PIC24.v6.0.0.0 1CD

MikroElektronika.MikroBasic.For.PIC.v7.0.0.2 1CD(全能且独立的PIC单片机编译器)

MikroBasic Pro for AVR 2009 v1.5 1CD

MikroC Pro for AVR 2008 v1.35 1CD

MikroPascal Pro for AVR v2.10 1CD

MikroBasic Pro PIC 2009 v2.15 1CD

MikroC Pro PIC v3.2 1CD

MikroPascal Pro PIC 2009 v2.15 1CD

 

Oshonsoft.8085.Simulator.IDE.v2.60 1CD

Oshonsoft.AVR.Simulator.IDE.v1.30 1CD

Oshonsoft.Function.Grapher.v1.20 1CD

Oshonsoft.PIC10F.Simulator.IDE.v1.40 1CD

Oshonsoft.PIC18.Simulator.IDE.v2.60 1CD

Oshonsoft.PIC.Simulator.IDE.v6.70 1CD

Oshonsoft.Z80.Simulator.IDE.v9.60 1CD

 

AFT Impulse 3.0 1CD

DSP.Robotics.FlowStone.Professional.v1.1.2 1CD

Eagleware Genesys 2004.07 Final 1CD(世界领先的射频微波设计软件)

EagleWare Genesys v2003.03 SP3 1CD

posted @ 2012-07-10 16:46 林玉 阅读(781) | 评论 (0)编辑 收藏

CST.MicroStripes.2009.v8.0.x64 1CD

CST Em Studio v2.0 1CD(低频电磁场的分析和设计软件)

CST Mafia v4.1 1CD

 

APLAC SOLUTIONS产品:

PCselCAD v10.03 中文版-ISO 1CD(带正版数据库,机电-电气CAD绘图软件) 

PCschematic ELautomation v9.0.6 正式免狗中文版 1CD(带正版数据库压缩包)

PCschematic ELautomation v9.0 1CD(英文版)

PCschematic (施耐德)元件库

PCschematic 完整教程

PL7 Pro v4.4 1CD

Schneider-Electric Unity Pro XL v4.0-ISO 1CD(含简体中文版)

Schneider Electric Vijeo Desiner v4.6-ISO 1CD(含简体中文版)

 

IAR产品:

IAR.Embedded.Workbench.for.8051.v7.60.1.Full 1CD

IAR Embedded Workbench for 8051 Update v7.60.7 1CD

IAR.Embedded.Workbench.for.8051.MSC-51.v7.20D(嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)

IAR.EW430.320A 1CD(嵌入式工作台)

IAR.Embedded.Workbench.for.68HC12.v2.44A

IAR Embedded Workbench for ARM v6.21.1-ISO 1CD

IAR.Embedded.Workbench.for.Atmel.AVR.v5.50.1 Full 1CD

IAR.Embedded.Workbench.for.Atmel.AVR32.v4.30F-ISO

IAR.Embedded.Workbench.for.Atmel.EWAVR.v4.20C.Full

IAR.Embedded.Workbench.for.Arm.Ewarm.v3.11A-ISO

IAR Embedded Workbench for AVR 5.51.2.Full 1CD

IAR Embedded Workbench for AVR32 v3.31.3 1CD

IAR.Embedded.Workbench.for.CR16C.v2.10A

IAR.Embedded.Workbench.for.Dallas.Semiconductor.Maxim.MAXQ.v1.13C

IAR.Embedded.Workbench.for.Dspic.v1.30A(整套的嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)

IAR.Embedded.Workbench.for.Freescale.HCS12.V3.11A

IAR.Embedded.Workbench.for.H8.v1.53I

IAR.Embedded.Workbench.for.MAXQ.v2.30.1

--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------
IAR.Embedded.Workbench.for.MCS-51.v7.21A

IAR.Embedded.Workbench.for.Mitsubishi.740.v2.16A

IAR.Embedded.Workbench.for.MK5.v1.24A

IAR.Embedded.Workbench.for.MSP430.v5.10

IAR.Embedded.Workbench.for.NEC.v850.v3.30

IAR.Embedded.Workbench.for.NEC.78K.v4.40A

IAR Embedded Workbench for National Semiconductor CR16C v3.10.1 1CD

IAR Embedded Workbench for Microchip PIC18 v3.10

IAR.Embedded.Workbench.for.PICmicro.v2.21A

IAR Embedded Workbench for Renesas 32C v3.30.1 1CD

IAR Embedded Workbench for Renesas 78K v4.70.2 1CD

IAR.Embedded.Workbench.for.Renesas.H8.v2.10A

IAR.Embedded.Workbench.for.Renesas.M16C/R8C.v3.50.1

IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M16C.EWPM16C.v2.12A.FULL

IAR.Embedded.Workbench.for.Renesas.M32C.v3.30.1 1CD

IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M32C.v2.11A.FULL

IAR Embedded Workbench for Renesas R32C v1.31.1 1CD

IAR Embedded Workbench for Renesas RX v2.20.4 1CD

IAR.Embedded.Workbench.for.Samsung.SAM8.v2.21A.FULL

IAR Embedded Workbench for Renesas V850 v3.71.1 1CD

IAR Embedded Workbench for STM8 v1.30.1 1CD

IAR.Embedded.Workbench.for.TI.MSP430.v3.41A 

IAR.Embedded.Workbench.for.TI.MSP430.EW430.v3.10A.FULL

IAR Embedded Workbench for ZiLOG eZ80 1.34A 1CD(C/C++编译器和调试器)

IAR.Embedded.Workbench.for.ZiLOG.Z80.v4.06A Full

IAR Embedded Workbench Limited Edition for 6502

IAR.MakeApp.for.Buletooch.Protocol.Stack.Embedded.Edition.v1.40A.FULL

IAR.Embedded.Workbench.AVR.v2.27B

IAR.PowerPac.for.ARM.v2.32.2 1CD(具有丰富功能的实时嵌入式操作系统(RTOS),并包含一个高性能的文件管理系统) 

IAR PowerPac Base for ARM v2.40.2-ISO 1CD

IAR PowerPac GUI Basic for ARM v2.40.2-ISO 1CD

IAR PowerPac GUI Professional for ARM v2.40.2-ISO 1CD

IAR PowerPac TCP/IP Base for ARM v2.40.2-ISO 1CD

IAR PowerPac USB Device for ARM v2.40.2-ISO 1CD

IAR PowerPac USB Host for ARM v2.40.2-ISO 1CD

IAR AVR C-SPY ROM-Monitor Debugger v5.40.1 1CD

IAR VisualState v6.3.2 1CD(图形化状态机设计工具, 它能为嵌入式系统产生非常紧凑的c代码)

IAR中文使用手册

FlowCode for PIC v4.3.6.61 1CD

Flowcode for AVR v4.3.6.61 1CD

Renesas.Nc30WA.v5.30.R02.Final

 

RA产品:

Rowley.Associates.CrossWorks.for.ARM.v1.5.Build.2 1CD

Rowley.Associates.CrossWorks.for.AVR.v2.0 1CD

Rowley.Associates.CrossWorks.for.MAXQ.v2.0 1CD

Rowley.Associates.CrossWorks.for.MSP430.v2.0 1CD

 

NASSDA CORP.产品:

Nassda.Critic.v5.0.01.2005 1CD(一款EDA工具,这是Windows版本)

Nassda.Critic.v5.0.01.2005.Linux 1CD(这是Linux版本)

Nassda.Hanex.v5.0.01.2005 1CD(业界领先的电路级时序与串扰分析工具,这是Windows版本)

Nassda.Hanex.v5.0.01.2005.Linux 1CD(这是Linux版本)

Nassda.Hsim.v5.0.01.2005 1CD(全球第一个满足深亚微米设计需求的全电路、层次化的晶体管级仿真器,这是Windows版本)

Nassda.Hsim.v5.0.01.2005.Linux 1CD(这是Linux版本)

 

TimingDesigner.v9.2 1CD(时域分析和图示工具)

TimingDesigner.v9.2 Linux 1CD

TimingDesigner.v9.2 Solaris 1CD

 

Precience产品:

PCB Navigator 5.1 1CD

 

SCHMID & PARTNER ENGINEERING AG产品:

SemCAD v13.4 1CD(SEMCAD 为复杂环境的近场分析提供了高端电磁模拟平台,可为电磁场的IIS/IT'IS 研究提供支持和帮助,

                同时可帮助在芯片级的EMC/EMI和EM 模拟,天线设计等,SEMCAD用户界面友好、强大(基于ACIS3D模型工具包)

 

Syncopation.Software产品:

DPL.Fault.Tree.v6.03.03 1CD(人工智能分枝决策树技术应用软件,核电站的安全保证分析,

                            卫星发射装置的可靠性分析, 计算机网络的安全性分析等)

DPL.Professional.v6.03.02 1CD(人工智能分枝决策树技术应用软件,增加更多的功能与分析模块, 如策略树建模, 时间系列分析等)

 

Telemagic.AB产品:

Telelogic.Rhapsody.v7.4.Windows-ISO 1CD(嵌入式仿真开发)

Telelogic.Rhapsody.v7.2.Linux-ISO 1CD

Telelogic.Rhapsody.v7.2.Documentation-ISO1CD

Telelogic.Doors.v7.1 1CD(需求管理软件)

Telelogic.Rhapsody.Adapters.v7.1.WiNNT2K 1CD

Telelogic.Rhapsody.Cygwin.Adapter.v7.0 1CD

Telelogic.Rhapsody.Gateway.v1.4.WiNNT2K 1CD

Telelogic.Rhapsody.Integrity.Adapter.v7.0 1CD
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

Telelogic.Rhapsody.Nucleus.C.Adapter.v7.0 1CD

Telelogic.Rhapsody.Nucleus.C.Plus.Plus.Adapter.v7.0 1CD

Telelogic.Rhapsody.Reporter.Plus.v7.0 1CD

Telelogic.Rhapsody.Sodius.Toolkit.v7.1.WiNNT2K 1CD

Telelogic.Rhapsody.VxWorks.Adapter.v7.0 1CD

Sodius.Rhapsody.RulesComposer.v7.0.24 1CD

Telelogic.TAU.Generation2.v2.4-ISO 1CD(可视化系统、软件开发和测试工具环境)

Telelogic.TAU.Generation2.v2.4.SP1-ISO 1CD

Telelogic.Rhapsody.OSC.Tools.v7.1.WiNNT2K 1CD

OSC.Automatic.Test.Generation.v3.1.356.for.Rhapsody.7.0 1CD

OSCTest.Conductor.v1.7.421.for.Rhapsody.7.0 1CD

Sodius.XMI.toolkit.for.Rhapsody.v7.0.13 1CD

I-Logix.Statemate.v4.1-ISO 1CD(面向功能需求的系统级自动设计软件包)    


VSI产品:

VisSim.v7.0B 1CD(可为复杂的控制系统、通讯系统进行建模仿真,可为DSP及嵌入式系统进行样机原型快速开发)

VisSim.C-Code.v6.0 1CD(自动将 VisSim 的简图翻译成被高度优化的符合 ANSI 的 C 语言标准的程序源代码)

VisSim.Comm.v6.0A 1CD(卫星、终端等的通信分析软件)

VisSim.Embedded.Controls.Developer.v6.0 1CD(为速成原型法和内嵌控制系统提供一个开发环境)

VisSim.ECD.for.TI.C2000.v5.0e.Win9xNT2K 1CD(用于TI C2000系列DSP快速样机开发,它可以为TI公司的DSP

                                             家族中的C2000系列快速开发运动控制系统板的样机原型)

VisSim.Neural-Net.v6.0 1CD(优势在于非线性系统的识别方面,问题的诊断,决策系统,预测系统,和其他的的一些特殊环境)

VisSim.Real-TimePRO.v6.0 1CD(提供给用户依靠“实”处理器或者控制器,直接连接 VisSim 系统模型)

 

Celoxica产品:

Celoxica.Agility.Compiler.v1.3 1CD(Agility C编译器)

Celoxica.Agility.Compiler.v1.3.Linux.Debian 1CD

Celoxica.DK.Design.Suite.and.PDK.v5.0.SP5 1CD(高阶设计方法)

 

Concent Engineering产品:

SpiceVision Pro v2.3.6 1CD(很流行的通用型积体电路模拟程序,可处理非线性直流,非常线暂态等功能)

SpiceVision v2.1 WinALL 1CD

Spice Vision 2.1 Linux 1CD

 

单片机软件:

Dolphin.Integration.Smash.v5.17.1 1CD(混合信号兼顾多层次模拟软件,能完全符合混合类比与逻辑信号电路的需求)

Dolphin.Integration.SMASH.v5.17.0.Linux 1CD

Dolphin.Smash.v5.12.2.Solaris 1CD

Dolphin.Integration.SoC.GDS.v6.8.1 1CD(片上系统图形显示工具)

Dolphin Soc.GDS v6.30 for Linux 1CD

Dolphin.SoC.GDS.v6.30.LINUX.x64 1CD

Dolphin.SoC.GDS.v6.30.Solaris 1CD

Dolphin.SoC.GDS.v6.30.Solaris64 1CD

Dolphin Soc.GDS v5.6 for HP-UX 1CD

 

HDL.Companion.v2.5.R1 1CD(用来获得对你的HDL设计的一个很好的总揽,并将其保持的一个独一无二的工具)

HDL.Companion.v2.4.R2.Linux 1CD

TransLogic HDL ComPanion v1.2 R2 Solaris 1CD

HDL.Design.Entry.EASE.v7.4.R6 for Windows 1CD(集成电路芯片设计工具)

HDL.Design.Entry.EASE.v7.4.R6.for.Linux 1CD

HDL Entry Ease v6.0 R11 Linux 1CD

HDL.Entry.Ease.v6.0.R11.SOLARIS 1CD

HDL.Works.IO.Checker.v2.1.R3.for.Windows 1CD

HDL.Works.IO.Checker.v2.1.R3.for.Linux 1CD

Translogic HDL Entry Ease and Eale v5.1R9 1CD

Translogic HDL Entry Ease and Eale v4.1.7 Linux 1CD

Translogic Ease v5.2 R10 and Eale v5.2 R8 1CD

--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------
 

 

Aldec Active-HDL v9.1 1CD(ALDEC公司的Active-HDL是一个开放型的仿真工具)

Aldec.Active.HDL.v6.3.VERILOG.Libaraies.Addon 1CD

Aldec.Active.HDL.v6.3.VHDL.Libaraies.Addon 1CD

Aldec.Active.HDL.v6.3.Xilinx.Schemetic.Libaraies.Addon 1CD

 

Aldec.Riviera Pro.v2009.02 1CD(业界独特的单内核VHDL/Verilog和EDIF混合仿真器)

Aldec.Riviera.v2007.02.Linux 1CD

Aldec.Riviera.v2007.02.LiNUX64 1CD

 

Aldec.ALINT.v2008.10 1CD(可支援Verilog语法的设计规则检验器)

Aldec.ALINT.v2008.02.Linux 1CD

 

CodeWarrior HC08 v3.0 1CD

CodeWarrior for HC12 v4.6 1CD

CVAVR v1.24.1e 1CD

DebugFactory Builder for AM1 Starter KIT松下单片机 1CD

DeLaMancha.PULS.v1.1.VSTi 1CD

posted @ 2012-07-10 16:46 林玉 阅读(598) | 评论 (0)编辑 收藏

AMTECH产品:

Amtech.v2006-ISO 1CD(强大的电气软件套装包括了电气设计、测试、检验、协同工作和快速单线缆计算等功能)

Amtech.ProDesign.NEC.v9.2.5-ISO 1CD(符合NEC及IEEEE标准设计与分析电子系统的功能强大的软件系统)

 

CIM-TEAM Inc.产品:

CIM-Team DDS-C R12 1CD(设计车间,机械建筑,采矿业以及发电厂的工程设计程序)

E3.Series.2011 12.2011.1000.0.Win32-ISO 1DVD(英文版)

E3.Series.2011 12.2011.1000.0.Win64-ISO 1DVD

E3.Series 2011 12.2011.1010.0 Win32_ 64 Update Only 1CD

 

VANDERPLAATS R&D产品:

Valor Genesis v9.7 1CD

Valor Genesis v9.2c 1CD

Valor Genesis2000 v8.0a WinNT4_2K 1CD

Valor GeneSIS 2000 中文教程

 

Valor Enterprise 3000 v7.2.4 1CD(是为 OEMs 和 PCB 设计者开发的DFM软件。其实际上是一个虚拟的生产系统,

                                 可以让OEM厂商模拟整个生产过程:从设计到生产的整个流程。可以优化设计,

                                 减少修改次数,让你从快速的市场反应中获益,并且提高产品质量)

Valor EnterPrise 3000 v7.2.4 Docs Addon 1CD

 

CADENAS产品:

Cadence.AMS.Methodology.Kit.6.12.Linux 7CD

Cadence ANLS v6.1 Linux 2CD

Cadence.Assura v4.10.002 Linux 5CD

Cadence Assura v4.10.006 Update Linux 3CD

Cadence Assura v4.12.004.615 Update Linux 4CD

Cadence Assura v3.13 for IC4.46 Linux-ISO 2CD(新一代深亚微米模拟和混合IC版图验证、寄生参数提取以及分辩率增强可制造性解决方案)

Cadence SPB/OrCAD (Allegro SPB) v16.5-ISO 5CD(电子电路设计软件)

Cadence SPB/OrCAD (Allegro SPB) v16.50.009.Update.Only-ISO 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.50.008.Update.Only-ISO 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.50.007.Update.Only-ISO 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.50.006.Update.Only-ISO 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.50.004.Update.Only-ISO 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.50.002.Update.Only-ISO 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.50.001.Update.Only-ISO 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.3-ISO 1DVD 

Cadence SPB/OrCAD (Allegro SPB) 16.30.030 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.30.019 Linux 3CD

Cadence OrCAD Capture CIS 9 实用教程 1CD

Cadence OrCAD  问题集锦 1CD
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

 

Cadence.Allegro.PCB.Design.v16.2-ISO 3CD(专业的电路板的设计软件,适合于一个全新项目的PCB 设计)

Cadence.Allegro.PCB.v16.20.014 Update Only 1CD

Cadence.BSIMProPlus.v5.1 1CD(提供了全套的解决方案,包括采用Virtuoso器件模型(BSIMProPlus)来提取

                             和调整硅的可靠性模型以及用UltraSim全芯片模拟器进行的全芯片可靠性模拟和分析)

Cadence C-to-Silicon Compiler (CtoS) Product v11.10 Linux 2CD

Cadence Conformal v9.10.100 Linux 1CD

Cadence Conformal v8.1 Linux64 1CD

Cadense Conformal LEC v10.1 Linux 1CD

Cadence.CTS v9.1 Linux 1CD

Cadence EDI v10.1 Linux 4CD(即SOC-Encounter,完整的综合布局布线系统)

Cadence EMGR v08.02.001 Linux 1CD

Cadence Encounter RTL Compiler v9.10.100 Linux 1CD

Cadence Encounter timing system(ETS) v10.1 Linux 3CD

Cadence Encounter Test(ET) v9.1 Linux 2CD

Cadence.EXT 7.1 Linux 1CD

Cadence EXT v9.14.Linux 2CD

Cadence Kitsocv v08.20.003 Linux 3CD

Cadence PAS v3.1 Linux 1CD(PDK自动化系统)

Cadence PSD 15.1-ISO 3CD(EDA开发工具包,它提供了从原理图设计输入、分析,PCB设计、PCB制造文件输出等一整套工具)

Cadence Physical Verification System(PVS) v10.1 Linux 1CD

Cadence SOCKIT v08.02.001 Linux 1CD

Cadence RFKIT v8.1 Linux 4CD

Cadence.SPB.v16.3.Linux 5CD

Cadence SPMN v08.02.001 Linux 1CD

Cadence TSI v6.1 Linux 2CD

Cadence MMsim v6.2 linux 7CD

Cadence MMsim v7.11.071 Linux 6CD

Cadence MMSim v10.10.204 Linux 3CD

Cadence IC Craftsman v11.241 1CD

Cadence IC Design v6.15 Linux 7CD

Cadence IC5141 ISR200906100325 Linux 4CD(IC5141最新升级文件)

Cadence IES v8.2 Linux 1CD

Cadence IFV v8.20.012 Linux 2CD

Cadence InCyte Chip Estimator v03.04.008 WinALL 1CD

Cadence.Logic.Design.and.VerifiCation(LDV).v5.1-ISO 1CD(逻辑设计与验证)

Cadence.Logic.Design.and.VerifiCation(LDV).v5.1.Linux 2CD

Cadence Low Power Methodology Kit v08.02.001 Linux 3CD

Cadence iScape v4.11 Linux 1CD

Cadence IUS v5.4 Win32-ISO 1CD

Cadence Incisive Unified Simulator(IUS) v10.2 Linux 1DVD

Cadence.Allegro.v13.6-ISO 1CD(系统互联设计平台,此平台具有缩短PCB设计周期, 显著提高生产效率的特点)

Cadence SEV v4.1 Linux 1CD

Cadence SPW v4.9 Linux 1CD

Cadence VSDE v4.1 ISR17 Linux 1CD

Cadence Generic PDK090 v3.7 Linux 1CD

Cadence Generic PDK

Cadence CONFRML v5.0 Base 1CD

Cadence.IC设计.全资料教材 1CD

Allegro 14.2 中文教材

Allegro 15.X学习与使用(中文)

Cadence Allegro简易手册(中文版)

Cadence 使用参考手册(中文版)

CADence PCB设计中文教程

 

Accelerated.Designs.UltraLibrarian.Gold.2.0.82 1CD

Conformal Constraint Designer v6.1 1CD(在给定设计问题下确保有效时序约束的产品)

Cadence.Specctra.Router.v10.2 1CD(功能强大的PCB无网格自动布线器)

Cadence.Specman.Elite.v5.0.Linux-ISO 1CD

 

Laker 2010 11 p1 Linux 1CD

Laker 2009.12 P2 Linux 1CD

Laker 2009.12 P2 LinuxAMD64 1CD

Laker 32 v3 REDHAT9 1CD

Laker 31 v3p6a REDHAT72 1CD

Laker 32 v3p6 SOL7 1CD

Laker 32 v3p6 SOLARIS2 1CD

Laker 2009.12 P2 Symbol 1CD

Laker 2009.12 P2 Document 1CD

Laker 32 v3p6 LabBook
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

Laker Document 1CD(适用3.0版和更高版的用户手册)

Laker AMS v6.1p4 WinALL 1CD(电路图设计与调试环境)

Laker AMS v6.1p4 Linux

 

ADP 21 v3p5 Linux 1CD

ADP 21 v3p5 LinuxAMD64 1CD

ADP 21 v3p5 REDHAT9 1CD

ADP 21 v3p5 SOL7 1CD

ADP 21 v3p5 SOLARIS2 1CD

ADP 21 v3p5 symbol 1CD

ADP 21 v3p5 Document 1CD

 

Specctra ShapeBased Automation Software v15.1-ISO 1CD(基于层对交互/自动布线的功能)

PSC Design Kit 3.3 Linux 1CD

PCB Router Specctra v16.2 1CD

Pspice v9.2 1CD

Pspice 使用指南(中文)

NucleusUDB.v4.3(强大的、基于GUI的嵌入式应用源码级调试器,具有标准的内部开发结构,适用于Nucleus软件部件支持的大多数处理器)

Number One Systems Easy-PC PCB v13.0.6 Full 1CD

Rimu.PCB.v1.07.WinALL 1CD(行业印刷电路板(PCB)的设计软件)

 

Intusoft产品:

ICAP/4 v8.1.6 for WinAll 1CD(电源仿真软件)

 

Aegis产品:

Aegis.CircuitCAM.Suite.v6.0.2.2 1CD(生成设备程式、建模软件)

BoardMaster LPKF v5.1 Full 1CD

LPKF CircuitCAM v5.0.Build.612 1CD(一个高端成熟的电路板生产CAM软件)

Circuitcam v5.0使用手册(中文)

 

Aucotec产品:

Elcad.Aucoplan v7.5 Multilingual-ISO 1CD(ECAE系统,电子工程软件)

 

Altium产品:

Altium Designer 10.700.22943 Win32-ISO 1DVD

Altium Designer Winter 10 v10.600.22648 Win64-ISO 12DVD(电子产品开发系统)

Altium Protel DXP v7.2.92.With.SP3 WinNT-ISO 1CD

Protel DXP Altium v7.0 WinNT_2000_XP-ISO  1CD

Protel Dxp 2004 sp2-ISO( 完全安装版)

Protel DXP 2004 Sp4 1CD

Protel DXP 2004 Sp4 IntegratedLibraries 1CD

Protel DXP 2004 Sp3 集成库 1CD

Protel DXP 2004汉化及工具

Protel 98-ISO 1CD (简体中文破解版)

Protel 99SE Sp6 1CD(简体中文版,含第二版)

Protel 99 正式版 1CD

Protel 99 SE 的入门说明书(中文版)

Protel DXP Fpgalibraries 1CD

Protel DXP Trial Version 1CD

Protel DXP 电路设计及应用教程

Protel DXP 培训教材(中文)
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

 

P-CAD v2006.With.SP1-ISO 1CD(印制线路板设计软件)

P-CAD v2006.SP2 1CD

Simetrx/Simpis v4.2-ISO 1CD(混合信号电路仿真软件)

 

Tasking C166/ST10 v7.5 r2-ISO 1CD(嵌入式软件开发工具套件)

Tasking C166 v7.5 r2 1CD

Tasking C FOR 196_296 v6.0 R1 1CD

Tasking Dsp Suite v2.0r0 For Motorola Dsp5600X 1CD

 

FAMIC TECH INC产品:

Automation Studio v5.0 1CD(电路设计、模拟和项目文件软件)

 

NEC产品:

EMIStream v4.2 1CD(EMC防真软件)

 

Remcom, Inc.产品:

XFDTD.Bio-Pro.v6.3.8.4.Win2k_XP 1CD(高频电磁分析模拟软体)

XFDTD v7.0 1CD(美国REMCOM公司开发的一款基于电磁数值计算方法FDTD的全波三维电磁仿真软件)

XGTD v2.1 1CD(电磁仿真和分析软件)

 

CST产品:

CST.Studio.Suite.v2011.WinALL & Linux.DVD-ISO 1DVD(完整版-全模块电磁仿真软件)

CST Studio Suite 2011 SP5 Update Only 1CD

CST Microwave Studio v5.1.3-ISO 1CD(电磁仿真)

CST Design Studio v3.0 1CD(与CST Microwave Studio配套使用的设计数据/流程管理工具,用来支持与其他工具

                           交换数据以及分割设计数据和程序库化等作业)

CST.MicroStripes.2009.v8.0 1CD

posted @ 2012-07-10 16:46 林玉 阅读(479) | 评论 (0)编辑 收藏

AVANT!/SYNOPSYS产品:

Actel.Designer.v8.3.SP1.Windows-ISO 1CD(专业的FPGA器件开发软件,是一个能使FPGA的系统性能提高15%的布局工具)

Actel.Designer.v8.4.2.6.SP2-ISO 1CD

Actel.Designer.v8.3.SP1.Linux-ISO 1CD

Actel.Designer.v8.3.SP1.Solaris-ISO 1CD

Actel.Flashpro.v8.4-ISO 1CD(编程器)

Actel.Libero.IDE.Platinum.SP1.v8.6.2.10 1CD

Actel.Libero.IDE.v8.4.Windows-ISO 1DVD(FPGA产品设计)

Actel.Libero.IDE.v8.4.Linux-ISO 1DVD

Actel.CoreConsole.v1.4 1CD(IP开发平台 (IDP),有助于简化以FPGA为基础系统级应用的构建)

 

Synopsys Astro vZ-2007.03 SP10 Linux 1CD

Synopsys Astro vZ-2007.03 SP10 LinuxAMD64 1CD

Synopsys Astro IU vZ-2007.03 SP10 Linux 1CD

Synopsys Astro IU vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Rail vZ-2007.03 SP7 Linux 1CD(一个全面的功耗完整性分析和实现工具)

Synopsys.Astro-rail vZ-2007.03 SP7 LinuxAMD64 1CD

Synopsys.Astro-rail vZ-2007.03 SP7 Linuxipf 1CD

Synopsys Astro Rail vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SPARC64 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SPARCOS5 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SUSE64 1CD

Synopsys.Aurora.vX-2006.09.Linux 1CD

Synopsys.Cadabra.vB-2008.09 Linux 1CD

Synopsys.Cadabra.vB-2008.09 SparcOS5 1CD

Synopsys.Cadabra.vB-2008.09 Suse32 1CD

Synopsys Certify vE-2011.09 Win32 1CD

Synopsys Certify vE-2011.09 Linux 1DVD

Synopsys.CoCentric.System.Studio vX-2005.06 SP1 Linux 1CD

Synopsys.Common.Licensing(Scl) v1.2 for WinNT 1CD

Synopsys Component vC-2009.06 SP1 Win32 1DVD

Synopsys Component vC-2009.06 SP1 Linux 1DVD

Synopsys.CoreTools.vB-2008.12.SP2.Linux 1CD

Synopsys.CoreSynthesis.v2002.05 Linux 1CD

Synopsys CosmosScope vD-2010.03 Win32 1CD

Synopsys CosmosScope vB-2008.09 SP1 Linux 1CD

Synopsys Cosmosscope vB-2008.09 SP1 Linux64 1CD

Synopsys CSS vC-2009.03 SP1 Linux 1CD

Synopsys CSS vC-2009.03 SP1 Msvc50 1CD

Synopsys CSS vC-2009.03 SP1 SparcOS5 1CD

Synopsys CSS vC-2009.03 SP1 GccsparcOS5 1CD

Synopsys.Customdesigner vC-2009.06 Linux 1CD

Synopsys.Customdesigner vC-2009.06 LinuxAMD64 1CD

Synopsys CustomExplorer 2010.06 Linux 1CD

Synopsys DC 2000.05 WinALL 1CD

Synopsys DDR DDR2 PHY TSMC 65GP25 Linux 1CD

Synopsys DWC DDR2 SMIC 130G33 Linux 1CD

Synopsys Design Compiler 2008.09 Linux 1CD

Synopsys.Designware.IP.v2001.08 Linux 1CD

Snopysys DesignWare System-Level Library vD-2009.12-SP2 Linux 1DVD

Synopsys DFT Compiler 1 v2006.06 Linux 1CD

Synopsys DSP vC-2009.03 SP1 Win32 1CD

Synopsys DSP vC-2009.03 SP1 Linux 1CD

Synopsys.ESP.vC-2009.06 Linux 1CD

Synopsys.ESP.vC-2009.06 LinuxAMD64 1CD

Synopsys.ESP.vC-2009.06 Rs6000 1CD

Synopsys ESP vC-2009.06 Sparc64 1CD

Synopsys ESP vC-2009.06 SparcOS5 1CD

Synopsys ESP vC-2009.06 SUS32 1CD

Synopsys ESP vC-2009.06 SUS64 1CD

Synopsys ESP vC-2009.06 x86SOL32 1CD

Synopsys ESP vC-2009.06 x86SOL64 1CD

Synopsys Formality.vE-2010.12 SP2 Linux 1CD

Synopsys Formality.vC-2009.06 LinuxAMD64 1CD

Synopsys Fpga vE-2011.03 Win32 1CD

Synopsys Fpga vE-2011.03 Linux 1DVD
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

Synopsys FpGA Compiler II v3.8 1CD

Synopsys.FPGA Express.V3.6.1.6817.Winall 1CD

Synopsys.FPGA.Express.Xilinx.Edition.V3.6.1 1CD

Synopsys Hercules vB-2008.09 Linux 1CD

Synopsys Hercules vB-2008.09 LinuxAMD64 1CD

Synphony HLS vD-2009.12 1CD

Synphony HLS vD-2009.12 Linux 1CD

Synopsys Hsimplus vC-2009.06 WinALL 1CD(高性能的晶体管级仿真器)

Synopsys Hsimplus vE-2010.12 SP1 Linux 1DVD

Synopsys Hsimplus vC-2009.06 LinuxAMD64 1CD

Synopsys Hsimplus vC-2009.06 Sparc64 1CD

Synopsys Hsimplus vC-2009.06 SparcOS5 1CD

Synopsys Hsimplus vC-2009.06 SUS32 1CD

Synopsys Hsimplus vC-2009.06 SUS64 1CD

Synopsys Hsimplus vC-2009.06 x86SOL32 1CD

Synopsys Hsimplus vC-2009.06 x86SOL64 1CD

Synopsys Hspice.vE-2010.12 WinALL 1CD(完全安装版,高精确度的模拟电路仿真软件)

Synopsys Hspice.vE-2010.12.Linux 1CD

Synopsys Hspice.vE-2010.12.LinuxAMD64 1CD

Synopsys Hspice vC-2009.03 SP1 SparcOS5 1CD

Synopsys Hspice vY-2006.09.SP1 Doc 1CD

Synopsys IC Compiler vE-2010.12 Linux 1CD

Synopsys IC Compiler vE-2009.12 LinuxAMD64 1CD

Synopsys IC WorkBench(ICWB)vV-2004 Solaris 1CD(高速版图设计可视化和光刻分析)

Synopsys Ident vC-2009.06 SP2 Win32 1CD

Synopsys Ident vC-2009.06 SP2 Linux 1CD

Synopsys IDQ vC-2009.06 SP3 Linux 1CD

Synopsys IDQ vC-2009.06 SP3 LinuxAMD64 1CD

Synopsys IDQ vC-2009.06 SP1 SUS32 1CD

Synopsys IDQ vC-2009.06 SP1 SUS64 1CD

Synopsys IDQ vC-2009.06 SP1 x86SOL32 1CD

Synopsys IDQ vC-2009.06 SP1 x86SOL64 1CD

Synopsys Innovator v2009.12 SP1 Win32 1CD

Synopsys ISE TCAD v10.0 Linux 2CD(半导体元件制造与模拟软件)

Synopsys Jupiter vY-2006.06 SP1 Linux 1CD

Synopsys.Jupiterxt vZ-2007.03 SP10 Linux 1CD

Synopsys LEDA vD-2010.03 Linux 1CD

Synopsys LEDA vB-2008.06 LinuxAMD64 1CD

Synopsys ldentify vE-2011.03 Win32 1CD

Synopsys ldentify vE-2011.03 Linux 1CD

Synopsys Liberty NCX vC-2009.06 SP1 Linux 1CD

Synopsys Liberty NCX vC-2009.06 SP1 LinuxAMD64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 Sparc64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SparcOS5 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SUS32 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SUS64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 x86SOL32 1CD

Synopsys Liberty NCX vC-2009.06 SP1 x86SOL64 1CD

Synopsys NCX vE-2010.12 SP3 Linux64 1CD

Synopsys Magellan vB-2008.09 Linux 1DVD

Synopsys Magellan vB-2008.09 LinuxAMD64 1DVD

Synopsys.Mempro.v2001.11.For.NT 1CD

Synopsys MW vB-2008.09 SP4 Linux 1CD

Synopsys MW vA-2007.12 SP5 LinuxAMD64 1CD

Synopsys NanoSim tool vC-2009.06 Linux 1CD

Synopsys NanoSim tool vC-2009.06 LinuxAMD64 1CD

Synopsys.NanoSim.vB-2008.09.Sparc64 1CD

Synopsys.NanoSim.vB-2008.09.SparcOS5 1CD

Synopsys MVtools vB-2008.12 Linux 1CD

Synopsys Ncx vB-2008.12 Linux 1CD

Synopsys NS Hsim XA vD-2010.03 Linux 1DVD

Synopsys NS Hsim XA vC-2010.03 LinuxAMD64 1DVD

Synopsys NS Hsim XA vC-2009.06 SparcOS5 1CD

Synopsys NS Hsim XA vC-2009.06 SUS32 1CD

Synopsys NS Hsim XA vC-2009.06 SUS64 1CD

Synopsys NS Hsim XA vC-2009.06 x86SOL32 1CD

Synopsys NS Hsim XA vC-2009.06 x86SOL64 1CD

Synopsys NS Hsim XA vC-2009.06Sparc64 1CD

Synopsys.NT.vC-2009.06.Linux 1CD

Synopsys PCI-X v2.0 1CD

Synopsys PP vV-2003.12 SP1 Linux 1CD

Synopsys.PrimePower vY-2006.06 Linux 1CD

Synopsys Primerail vA-2008.12.SP1 Linux 1CD

Synopsys primerail vD-2010.06 SP1 LinuxIA32 1CD

Synopsys primerail vB-2008.12 SP1 LinuxAMD64 1CD

Synopsys PrimeTime 2000.05-1 for winNT 1CD(静态时序分析工具)

Synopsys Primetime vD-2009.12 SP3 Linux 1CD

Synopsys Primetime vD-2009.12 SP3 LinuxAMD64 1CD

Synopsys PTS vD-2010.06.SP3-4 Linux 1CD

Synopsys PTS vD-2010.06 LinuxAMD64 1CD

Synopsys Ranxt vC-2009.06 SP1 Linux 1CD

Synopsys Ranxt vC-2009.06 SP1 LinuxAMD64 1CD

Synopsys Ranxt vC-2009.06 SP1 Sparc64 1CD

Synopsys Ranxt vC-2009.06 SP1 SparcOS5 1CD

Synopsys Ranxt vC-2009.06 SP1 SUS32 1CD
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

Synopsys Ranxt vC-2009.06 SP1 SUS64 1CD

Synopsys Ranxt vC-2009.06 SP1 x86SOL32 1CD

Synopsys Ranxt vC-2009.06 SP1 x86SOL64 1CD

Synopsys Saber vE-2011.03 WinALL 2DVD(不同的工程领域--水利、电气、电子及机械等进行

                                     物理作用仿真的软件,也可作为信号流算法软件)

Synopsys SaberRD vD-2011.03.Win32 2DVD

Synopsys Saber vC-2009.03 Linux 1DVD

Synopsys SaberHDL Y-2006.06 WinALL 1CD

Synopsys Simif vC-2009.09.SP1. Linux 1CD

Synopsys Simif vB-2008.09 Sparc64 1CD

Synopsys Simif vB-2008.09 SparcOS5 1CD

Synopsys.Sold.v2009.03.Linux 1CD

Synopsys SPW vE-2010.12 Win32 1CD

Synopsys SPW vE-2010.12 Linux 1CD

Synopsys ssd vA-2007.09 Linux 1DVD

Synopsys Starrc vD-2010.06 Linux 1CD

Synopsys StarRCXT vD-2009.12 LinuxAMD64 1CD

Synopsys Spice Explorer 2009.09 WinALL 1CD

Synopsys SpiceExplore vD-2010.06 Linux 1CD

Synopsys SX-a2008.03 SP1 Linux 1CD

Synopsys Synthesis Tools tool vD-2010.03 Linux 1CD

Synopsys Synthesis Tools tool vD-2010.03 LinuxAMD64 1CD

Synopsys Syn(Design Compiler) vE-2010.12 Linux 1CD

Synopsys Syn vD-2010.03 LinuxAMD64 1CD

Synopsys Syn vB-2008.09 SP5-2 SUSE32 1CD

Synopsys Syn vB-2008.09 SP5-2 SUSE64 1CD

Synopsys Syn vB-2008.09 SP5-2 x86sol32 1CD

Synopsys Syn vB-2008.09 SP5-2 x86sol64 1CD

Synopsys TCAD Sentaurus vD-2010.03.Linux 1DVD

Synopsys Tcad Sentaurus vD-2010.03.LinuxAMD64 1DVD

Synopsys Tcad Taurus Medici vD-2010.03 Linux 1CD

Synopsys Tcad Taurus MD vC-2009.06 LinuxAMD64 1CD

Synopsys Tcad Taurus Tsuprem4 vD-2010.03 Linux 1CD

Synopsys Tcad Taurus TS4 vC-2009.06 LinuxAMD64 1CD

Synopsys TX vC-2010.03 SP2 Linux 1CD

Synopsys TX vC-2009.06 SP3 LinuxAMD64 1CD

Synopsys TX vC-2009.06 SP1 SUS32 1CD

Synopsys TX vC-2009.06 SP1 SUS64 1CD

Synopsys TX vC-2009.06 SP1 x86SOL32 1CD

Synopsys TX vC-2009.06 SP1 x86SOL64 1CD

Synopsys TXS vC-2009.06 SP3 Linux 1CD

Synopsys TXS vC-2009.06 SP3 LinuxAMD64 1CD

Synopsys TXS vC-2009.06 SP1 SUS32 1CD

Synopsys TXS vC-2009.06 SP1 SUS64 1CD

Synopsys TXS vC-2009.06 SP1 x86SOL32 1CD

Synopsys TXS vC-2009.06 SP1 x86SOL64 1CD

Synthesis Tools tool vZ-2007.03 SP1 Linux 1CD

Sentaurus vX-2005.10 SP1 Linux 1CD

Hspice 2005.09 英文用户手册

Hspice 语法手册

 

Synopsys Star-HSpice v2006 03 SP1 1CD(电路仿真软件)

Synopsys.Star-Rcxt vB-2008.12 SP2 Linux 1CD

Synopsys.Star-Rcxt vB-2008.12 SP2 LinuxAMD64 1CD

synopsys vera vD-2009.12 Linux 1CD

Synopsys Vera v6.3.10 solaris 1CD

Synopsys.VCS.v6.0.1.WinNT_2k 1CD

Synopsys VCS vE-2011.03 Linux 1DVD

Synopsys VCS vD-2010.06 LinuxAMD64 1CD

Synopsys VCS-MX vE-2011.03 Linux 2CD

Synopsys VCS-MX vE-2011.03 Linux64 2CD

Synopsys.2001.08.Core.Synthesis.for.linux 1CD 

 

Synplicity Amplify v3.7 1CD(第一款为FPGA设计的物理综合产品)

SynpliCity Identify RTL Debugger v2.0.1 1CD 

Synplify Fpga vF-2011.09 Win32 1CD

Synplify Fpga vF-2010.09 Linux 2CD

Synplify DSP v3.6 1CD

Synplify.Premier.v9.61 Linux 1CD

Synplify.Premier.v9.6.2.with.Identify.v3.02 1CD(针对复杂可编程逻辑设计的功能强大的FPGA 综合工具,独有的特性和极快的运算速

                                                度使它成为业界的最流行的也是最强力的综合工具,而且还附加了调试于优化功能)

Synplify Pro v9.2.2 Linux 1CD

Synplify v8.5 with Identify v2.3.2 Linux 1CD

Synplify ASIC v5.02 for win & linux & sun & unix 1CD

Taurus Medici vV-2003.12 linux 1CD

Virtio VPAI 2.0 Platform 1CD
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

 

Bosch Rexroth Group产品:

Bosch.Rexroth.Indraworks.v7.04-ISO 3CD(是一个简单易操作的工程环境,用于所有力士乐电子控制系统及驱动系统)

Bosch.Rexroth.WinStudio.v6.5.WinNT_2K 1CD(提供了制造执行系统(MES)和用于监控及性能监视功能的数据采集与监视控制系统(SCADA)

 

Intercept产品:

Intercept Pantheon 6.0.04B Win32 1CD(PCB/Hybrid/RF设计辅助软件)

Intercept Pantheon 6.0.04B Linux 1CD

Intercept Pantheon 6.0.04B Solars 1CD

 

SANDWORK DESIGN INC.产品:

Design Spice Explorer v2007.1 1CD

Design Spice Explorer v2003.1 Linux 1CD

 

Tanner产品:

Tanner.L-EDIT.pro.with.LVS.v10.0-ISO  1CD(IC设计验证系统软件)

Tanner.S-EDIT.v7.03 1CD(电路框架的制作和编辑工具)

Tanner.T-SPICE.Pro.v8.1(大规模模拟和混合信号IC的精确高效分析模拟软件)

Tanner Tools v15.01 1CD(集成电路设计环境)

posted @ 2012-07-10 16:45 林玉 阅读(622) | 评论 (1)编辑 收藏

理正岩土4.0说明书

土方工程量计算 HTCAD v4.2 1CD

理正勘察8.1 1CD

理正工程地质勘察GICAD6.81完全破解单机版 1CD

理正工程地质勘察GICAD6.1完全破解网络版 1CD

工程测量数据处理系统 5.0 1CD

 

结构软件Tssd 2008 For AutoCAD 2008 网络版 1CD

结构软件Tssd 2006 1CD

结构软件Tssd 3.0 for AutoCAD2005 单机版

结构软件Tssd 3.0 for AutoCAD2005 网络版 1CD

结构软件TSSD 2.8 for AutoCAD2005 单机版 1CD

结构软件TSSD 2.8 for AutoCAD2004 网络版 1CD

结构软件TSSD 2.8 for AutoCAD2002 网络版 1CD

结构软件Tssd 钢结构 1.5 单机版 1CD

TSSD水工结构设计1.0 for R14 & AutoCAD2002 1CD

结构软件Tssd 3.0 说明书

结构软件TSSD 2.7中文使用说明书

神机妙算20.03 破解版 1CD

 

大恒CAD8.0 for WinALL-ISO 1CD

北京英科宇电气工程师2004 1CD

中南院电力版隧洞SDCAD 4.0 1CD

 

鹏业软件:

    公路概预算 1CD

    市政维修 1CD

    预算通四川市政 1CD

广联达:

    广联达—安装工程概预算软件 GAZ99

    广联达—工程概预算软件 GBG99

    广联达—钢筋统计软件GJ2000

    广联达—工程造价系列软件GBG v2.105

    广联达钢筋最新版GGJ9.0 Build606

    广联达钢筋抽样GGJ10.build714

    广联达图形算量GCL2008 v9.0.1.602

广厦建筑结构CAD10.0 1CD

广厦CAD 10.0说明书(中文)

建筑电气常用数据手册软件版 1CD

同望造价7.31和报表工具 1CD
 

交通部Bridge3DUP 1CD

桥梁博士3.03 1CD

桥梁通6.2(软件) 1CD

桥梁大师forCADD2002 1CD

线路大师forCADD2002 1CD
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

海地20040604 1CD

超级涵洞shcd2003xp 1CD

涵洞设计PVC 5.0 for Win98 破解版 1CD

桥梁三维造型系统 Bridge3D 2.0 1CD

 

PFCAD 2004 1CD

PFCAD v2.0 1CD(桩基础设计软件,对桩作竖向抗压承载力和抗拔承载力的验算,并对桩身作配筋设计, 以保证具有足够的结构强度)

桩基CAD2.7

浩辰Icad2003i 1CD

围岩稳定分析软件BMP2000 1CD

 

Bid Bridge v2000 for AutoCAD 1CD

Bid Road v2000 for AutoCAD R14 1CD

ESDPS工程测量数据处理系统5.0 1CD

道路与立交EICAD1.0单机版  1CD

鸿业给排水8.0A 1CD

鸿业市政道路5.0 R15 1CD

纬地三维道路CAD系统5.7 1CD

鸿业市政道路4.0专业版 1CD

华宁岩土勘察软件HNCAD16 1CD

 

Garden.Organizer.Deluxe.v2.4.WinALL 1CD(园艺管理软件)

Eagle Point 2011 Q1 11.1.0-ISO 1CD(园林设计软件)

图圣园林设计系统TSCAD4.01加强版 1CD

规划园林设计软件HCAD v4.5 1CD

园林绿化CAD LSI2000 1CD

LZX规划设计系统 v4.020 1CD

飞时达规划总图设计软件GPCAD v9.0 1CD

幕墙专业版5W2003 v5.0cjm 1CD

豪沃克幕墙CAD工具包 1CD

 

建模大师AutoModel v2.0  1CD

鲁班钢筋2006 v9.2 1CD

鲁班算量(土建定额版)最新版本v2007 TD 10.0.1 1CD

鲁班算量(土建清单版)最新版本v2007 TQ 10.0.1 1CD

鲁班算量 v6.6 1CD

CASS v5.1 1CD(地形、地籍、工程应用各方面都有许多改进,内带教学和用户手册)

MST模型转成SFCAD软件  1CD

鸿业给排水6.0(R14) 1CD

武汉恒通挡墙3.0 1CD

博奥土建清单5.0破解版 1CD

混凝土结构非线性分析软件IDARC6及源代码 1CD

-+电力电气、电子电路+-

~~~~~~~~~~~~~~~~~~~

Atrenta产品:

Agilent GoldenGate v4.4.9 Linux 1DVD(RTL验证标准)

Atrenta SpyGlass v4.40 Linux 1CD

Atrenta SpyGlass v4.2.0 LinuxAMD64 1CD

 

InnovEDA产品:

InnovEDA.E-Sim.v4.1 1CD

InnovEDA.FabFactory.7.0 1CD

InnovEDA PowerPCB Suite v4.0 1CD

InnovEDA.Visual.HDL.v6.7.8.for.Veril 1CD

InnovEDA.Visual.HDL.v6.7.8.for.VHDL 1CD

InnovEDA.Visual.IP.v4.4.1 1CD

 

DownStream.Technologies产品:

BluePrint-PCB.v3.0.0.571.with.CAM350.v10.5.0.471 1CD(自动化PCB文档制作)

FABmaster v8f2 Gold 1CD(治具制作软件)

 

CAMtastic产品:

Camtastic2000 v3.03 1CD(PCB设计过程中的CAM技术)

 

Baas Electronics产品:

Layo1.PCB.Design.Pro.v10.0 1CD(设计和制造电子测量和控制设备等机械制图专业软件)

 

Gerber产品:

ACE 3000 Professional v6.20 1CD

FAB 3000 Professional v5.1.1.4 1CD

 

MiG GmbH & Co.产品:

WASP-NET.V5.4.3-ISO 1CD(微波和孔口天线设计方面的快速准确的计算机辅助设计和优化软件)

 

GraphiCode产品:

GC-PowerStation.v9.1.2 1CD(中、英文版,即以前的GC-CAM 4.14的高版本,印刷电路板设计与制造CAD工具软件)

 

Etap产品:

Etap.PowerStation.v7.5-ISO 1DVD(电气专业设计、分析软件)

 

MemResearch产品:

Memresearch EM3DS v2008 1CD(意大利产出的电磁三维软件)

 

SimLab Software产品:

SimLab.Suite.2008 1CD(专门提供电缆、电缆束和电路板信号完整性(SI)、电源完整性(PI)和电磁干扰性(EMI)相关分析软件)

--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------
 

Sisoft产品:

Sisoft Quantum-SI 2008.10 SP4 1CD(信号完整性仿真分析)

 

PowerSIM产品:

PSIM Professional v9.1.1.400 & PsimBook Win32 1CD(仿真PowerPC指令集的模拟器)

PSIM Professional v9.0.3.400 Win64 1CD

PSIM Plus v5.0 网络版 1CD

 

Agilent Technologies Inc.产品:

Agilent.89600.Vector.Signal.Analyzer.v12.00-ISO 1CD(频谱分析)

Agilent.Electromagnetic Professional(EMPro).2010.07 Win32_64-ISO 1DVD(3D电磁场设计平台)

Agilent.Electromagnetic Professional(EMPro).2010.07 Linux32_64-ISO 1DVD

Agilent RF Design Environment(RFDE) 2008 linux 1CD

Agilent.Antenna.Modeling.Design.System(AMDS).v2007.06 1CD(电子仿真平台)

Agilent.Advanced.Design.System(ADS).v2011.05.Win32 & Win64-ISO 1DVD(电子设计自动化软件)

Agilent.Advanced.Design.System(ADS).v2011.05.Linux32 & Linux64-ISO 1DVD 

Agilent ADS 2005A 快速入门中文教程

Agilent ADS 中文视频教程(台湾)

Agilent ADS详尽教程

Agilent ADS中文教程

 

Agilent EMDS 2006B 1CD(电磁设计系统)

Agilent.GeneSpring.GX.v11.0.Win32 1CD

Agilent.GeneSpring.GX.v11.0.Win64 1CD

Agilent.GeneSpring.GX.v11.0.MacOSX-ISO 1CD

Agilent.Genesys.v2010.05-ISO 1CD(射频EDA软件)

Agilent.Genesys.v2010.05.SP1.Update.Only 1CD

Agilent.Genomic.Workbench.v5.0.14 1CD

Agilent.Genomic.Workbench.x64.v5.0.14 1CD

Agilent.Genomic.Workbench.Linux.v5.0.14 1CD

Agilent.Genomic.Workbench.MacOSX.v5.0.14 1CD

Agilent GoldenGate v4.3.8 Linux 1CD

Agilent SystemVue 2011.03 SP1 1CD

Agilent.IC-Cap.v2009.Win32 & Win64-ISO 1CD(集成电路表征和分析程序)

Agilent.IC-Cap.v2009.Linux32-ISO 1CD

Ommic ED02AH Libary v2.6 for ADS 2002 1CD

Simetrix Simplis v5.4 1CD(完全解密)

Simetrix Simplis manuals (用户手册)

Agilent.Testexec.SL.v5 1CD

 

Agilent.VEE.Pro.v9.0 1CD(是为测试程序开发者提供的一种高效、简便易用的图形编程环境)

Agilent.VEE.Pro.v7.5.Addon 1CD (帮助文件)

Agilent.Hfss.v5.6-ISO 1CD(专业 3D 高频系统全波电磁场模拟软件)

Agilent.89600.Vector.Signal.Analyzer.v8.0-ISO 1CD(频谱分析)

 

ANSOFT产品:

Ansoft HFSS v13.02 win32_64 Full-ISO 1CD(三维结构电磁场仿真软件)

Ansoft Maxwell 3D v14.0 Win32-ISO 1CD(电磁场分析软件)

Ansoft Maxwell v14.01 Update Only 1CD

Ansoft Maxwell v14.02 Update Only 1CD

Ansoft Maxwell 3D v12.1 Win64-ISO 1CD

 

Ansoft Designer v6.0 Full-ISO 1CD

Ansoft Designer and Nexxim v5.0-ISO 1CD(电子电磁仿真)

Ansoft Designer v9.0 HFSS 1CD

Ansoft Spiral Design Kit for Hfss v10.0 1CD

 

Ansoft Ephysics v3.1 WinALL-ISO 1CD(Maxwell的一个插件,电子电磁设计)

Ansoft Ensemble v8.0 1CD

Ansoft Links 5.0-ISO  1CD

Ansoft OpTimetrics v 2.5-ISO 1CD

Ansoft PExprt v7.0.20-ISO 1CD(全图形界面的电磁设备设计软件)

Ansoft.Q3DExtractor.v8.0-ISO 1CD

Ansoft Rmxprt v12.1-ISO 1CD(电力电子电磁器件设计、分析和优化工具)

Ansoft SCap v5.5 1CD(Ansoft HFSS V9.1的图示捕获工具)

Ansoft.Serenade.Densign.Environment.v8.71 1CD(射频微波、电路设计软件)

Ansoft.SIWave.5.01-ISO 1CD(全新的信号完整性仿真工具,特别适合于对高速PCB板和复杂的IC封装进行信号完整性分析)
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

Ansoft Simplorer v9.0-ISO 1CD(强大的多领域复杂系统仿真软件包)

Ansoft Simplorer v9.02 Update Only 1CD

Ansoft Simplorer v7.0 day1 & day2

 

Ansoft Spicelink v5.0-ISO 1CD(通用信号完整性电磁场仿真工具)

Ansoft.Turbo.Package.Analyzer(TPA).v4.1-ISO 1CD

Ansoft Max&Eph traning-ISO 1CD(教程)

Ansoft HFSS v10 用户手册(英文)

Ansoft Hfss 中文培训教程

Ansoft Hfss v9.0 培训影像

Ansoft Hfss v9.2 完全教程

Ansoft HFSS v9使用技巧

Ansoft HFSSv9边界条件和激励设置培训

Ansoft Hfss 9.2 用户指南

Ansoft Hfss 8.0 中文培训教程

Ansoft Maxwell 2D 3D 中文使用说明

Ansoft Maxwell 官方手册(英文)

Ansoft Rmxprt application 1CD

 

Apache Design Solutions产品:

Apache Design Solutions RedHawk v10.1.2 SP1 Linux64 1CD(IC功率分析解決方案)

 

CDAJ产品:

Speed v2011.0-ISO 1CD(电机设计软件)

 

COBHAM产品:

Opera v12.003 1CD(完整的电磁场3D分析软件)

 

SAMTECH产品:

Samcef For Wind Turbines v1.1-ISO 1DVD(风力涡轮发电机设计的专业工程软件)

 

JMAG产品:

JMAG-Designer v10.5 Win32-ISO 1DVD

JMAG-Designer v10.5 Win64-ISO 1DVD

JMAG Designer v10.3.03k Win32-ISO 1DVD(马达电磁分析软件)

JMAG Designer v10.3.03k Win64-ISO 1DVD

JMAG Studio v10.02201a Win32-ISO 1DVD

 

Infolytica Corporation产品:

InfoLytica.MagNet.v7.1.1 1CD(高精度磁场解析模拟软件)

 

Silvaco产品:

Silvaco AMS v2010.00 Win32 1CD

Silvaco AMS 2008.09 Linux 1CD

Silvaco AMS 2008.09 Linux64 1CD

Silvaco AMS 2008.09 Solaris 1CD

Silvaco AMS 2008.09 Manual 1CD

Silvaco Iccad 2008.09 1CD

Silvaco Iccad 2008.09 Linux 1CD

Silvaco Iccad 2008.09 Linux64 1CD

Silvaco Iccad 2008.09 Solaris 1CD

Silvaco Iccad 2008.09 Manual 1CD

Silvaco Logic 2008.09 1CD

Silvaco Logic 2008.09 Linux 1CD

Silvaco Logic 2008.09 Linux64 1CD

Silvaco Logic 2008.09 Solaris 1CD

Silvaco Logic 2008.09 Manual 1CD

Silvaco TCAD 2010.00 1CD

Silvaco TCAD 2010.00 Linux 1CD

Silvaco TCAD 2008.09 linux64 1CD

Silvaco TCAD 2008.09 Solaris 1CD

Silvaco TCAD 2008.09 Manual 1CD

Silvaco Catalyst 2008.09 Linux 1CD

Silvaco Catalyst 2008.09 Linux64 1CD

Silvaco Catalyst 2008.09 Solaris 1CD

Silvaco Char 2008.09 Linux 1CD

Silvaco Char 2008.09 Linux64 1CD
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

Silvaco Char 2008.09 Solaris 1CD

Silvaco Firebird 2008.09 Linux 1CD

Silvaco Firebird 2008.09 Linux64 1CD

Silvaco Firebird 2008.09 Solaris 1CD

Silvaco Mode 2008.09 Linux 1CD

Silvaco Mode 2008.09 Linux64 1CD

Silvaco Mode 2008.09 Solaris 1CD

Silvaco Parasitic 2008.09 Linux 1CD

Silvaco Parasitic 2008.09 Linux64 1CD

Silvaco Parasitic 2008.09 Solaris 1CD

Silvaco UT 2007.04 Linux 1CD

Silvaco UT 2007.04 Linux64 1CD

Silvaco UT 2007.04 Solaris 1CD

Silvaco VWF 2007.04 Linux 1CD

Silvaco VWF 2007.04 Linux64 1CD

Silvaco VWF 2007.04 Solaris 1CD

Parallel SmartSpice 1.9.3.E 1CD

 

posted @ 2012-07-10 16:45 林玉 阅读(547) | 评论 (0)编辑 收藏

BricsCAD.Architecturals.v4.1.0015.for.BricsCad 1CD

 

Chief.Architect.v12-ISO 1DVD(首席建筑师,3D 建筑设计软件)

 

IntelliCAD 2009 Pro Plus v6.4.23.2 1CD

IntelliCAD.Fine.ELEC.10.NG.v6.6.59.3 1CD

IntelliCAD.Fine.FIRE.10.NG.v6.6.59.3 1CD

IntelliCAD.Fine.HVAC.10.NG.v6.6.59.3 1CD

IntelliCAD.Fine.LIFT.10.NG.v6.6.59.3 1CD

IntelliCAD.Fine.SANI.10.NG.v6.6.59.3 1CD

IntelliCAD.IDEA.10.NG.v6.6.59.3 1CD

 

Spatial.Analyzer.v2004.05.23 1CD(工程应用测量软件)

 

Progesoft产品:

progeCAD.2011.Professional.v11.0.2.9 1CD

ProgeARC 2006 for ProgeCAD-ISO 1CD

ProgeMEC v2006 For ProgeCAD 1CD(ProgeCAD的一款插件)

 

GDS产品:

Informatix Piranesi.2010.Pro.v6.0.0.3672 1CD(Piranesi空间彩绘专家)

Informatix.MicroGDS.Pro.v9.0-ISO 1CD

Informatix Microgds Pro v8.0 Addon 1CD(帮助文件)

Informatix Microgds Viewer v8.0 1CD

ArmaCAD v8.1 1CD(钢筋制图软件)

Asdip Steel v2.08 1CD

Atena.v3.3.2 1CD(钢筋混凝土有限元分析软件)

AxisVM v10 1CD

Better.Homes.and.Gardens.Landscape.and.Deck.Designer.v7.0-ISO 2CD(设计装饰软件)

CASTeR v5.32 1CD

CoP 2005 R02 1CD

Critical.Tools.PERT.Chart.Expert.v2.7a 1CD

Critical.Tools.WBS.Chart.Pro.v4.8a 1CD

DynaN v2.0.7 1CD

EdiLus v20.0 1CD

Elevate v6.01 1CD(为所有类型的新建筑选用电梯的数量、大小和速度的软件,论证现有的电梯安装,改善乘客服务)

EIBA.ETS3.v3.0f.Multilanguage 1CD(KNX/EIB 工程分析)

Enercalc v6.1.03 1CD

Friedrich & Lochner Statik v2008.2 SL1-ISO 1CD(工程结构分析软件)

Luxology Modo v3.02 1CD(新型多边形和细分建模软件。有先进的实时表面分层引擎、快速并且灵活的模块创建工具)
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

Luxology Modo v203 Macosx 1CD

PlanSwift Professional v8.6.0.18 1CD

ReSpectrum 2005 1CD

ShapeCAD.v2.0.WinALL 1CD(建造结构形状的用来装配和计算截面属性的图形工具)

SPT 97 Application v1.5 1CD

Stonec Column v2.1 1CD

Struds v2010 1CD

Vico.Software.Constuctor.2008.v1.0.0 1CD(建筑施工前项目虚拟分析)

WinCSD v1.0.0 1CD

S-S.Abbund.Master.Edition.v20.1.Multilingual-ISO 1CD(建筑CAD)

Steel Water Pipe Design Software v2007 1CD(输水管线结构设计软件)

Trepcad v4.5.3 1CD(一款强大易用的楼梯设计工具)

TerrianCAD v1.0.3 1CD

 

TBSA 6.0 2002.12.19 单机 1CD

TBSA 6.0-ISO正式版 1CD(用来分析多层及高层建筑结构的专用程序)

WinTSBSA v1.0 1CD
 

圆方软件BtoCAD 2009A v0416.11 1CD

圆方室内设计系统9.0-ISO 4CD(系统盘2CD+虚拟现实图库1CD+系统图库1CD)

圆方 v8.0 1CD

圆方室内设计系统8015 2CD

圆方家居设计系统2003 V3.0  1CD

圆方家居设计软件2.0 1CD

 

西飞门窗设计软件 1CD

中望CAD.2005.简体中文版 1CD

中望RDMAX v4.2 单机版 1CD

ZWCAD.Professional.v2010.06.30.14725.292 1CD(中望CAD最新版)

 

清华斯维尔产品:

清华斯维尔2006专业版-ISO 1CD(包括:清华建筑TH-Arch2006、清华设备TH-Mech2006、安装算量TH-3DM2006)

清华斯维尔三维计量 2004

 

HHK GEOgraf CAD v3.0e 1258 WinALL 1CD(一款CAD软件,用于绘制位置图、面积计算和绘制建筑草图)

HHK GEOgraf Info v3.0d 1CD

HHK GEOgraf ViewerPRO v3.0d 1CD

 

AMSES Frame2D v 2.0.2.Build.2.0.0.289(结构分析)

AtLast.SketchUp.v5.0.260带教学视频-ISO 1CD(建筑草图工具,以绘画手法,画出三维图形的CAD建筑绘图软件,简体中文版) 

AXCAD.v2006.build.102.WinALL 1CD(是建筑师,工程师,设计师,几乎所有需要制作和使用DWG文件格式的专业人士的聪明选择)

BCAD v3.91.914 1CD(PC版本,为工程师,设计家和建筑家们设计的,用来进行计算机绘画,3维建模和现实可视化的集成工具)

BCAD For Tablet PC Versions v3.91.877 WinXP 1CD(此版本是Tablet PC版本)

bCAD.Furniture.Designer.Pro.v3.92 1CD

BeamBoy Beam Analysis Tool 2.2 1CD

 

CADRE.Flow.v1.1.1007.0(流体力学分析与管道CAD辅助设计的工具)

CADRE.Geo.v6.1.0001.0(为CAD有限元分析应用生成各种各样球状体多面体3D模型的软件)

CADRE.Pro.v5.0.2.6 1CD(三维有限元结构和动态分析软件,能够完成结构载荷的准静力冲击和地震分析)

CADRE.Profiler.v2.0.6003.0(在(建筑业中横梁构件)计算机辅助设计CAD中帮助生成物体横截面并分析其几何特性的工具软件)

CADRE.Rescol.v2.0.1.4(在建筑业中分析地基承载特性及其与所承载建筑物相互作用的工具软件)

Civil.Calculator.v2.0.Win32 1CD(土木工程计算器)

Civil.Calculator.v2.0.Win64 1CD

CUBUS Suite v4.0-ISO 1CD(土木工程应用软件,多语言版)

 

DesignBuilder.v2.2.5 1CD(建筑模拟软件)

ECOTECT v5.60 1CD(环境模拟分析软件,可用于建筑能源效率的研究)

Frame.Shape.v1.06 1CD(功能强大的工程应用软件,静态线形分析三维框架的装配构架,适用于土木工程师、建筑师、设计师和学生)

GaLa Reinforcement v4.1 1CD

Interstudio.DigiCAD.3D.v8.5.8 1CD(建筑摄影测量与制图)
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

Lira.v9.6 R6.Win32_64-ISO 1CD(建筑结构分析)

Piletest.PileWave.v5.1 1CD(反射波法基桩低应变完整性检测的数值模拟)

PS2000 R5.0 1CD(门式钢架轻型房屋设计CAD系统)

RCM ACI-Builder v4.4.5.1 1CD (Design of Reinforced Concrete Members according to ACI318-05)

Scipio B-2D v2003 1CD(一款静态2D框架结构分析工具,主要用于估算平面的弹性形变和内应力。

                     该软件提供GUI图形界面接口并提供多种编辑2维梁结构的工具)

Square ONE Ecotect v5.2B-ISO  1CD(完全的独一无二的建筑物分析软件)

ConCrete Test Report System v4.0.0089 1CD(帮助材料检测机构管理其混凝土检测实验室的软件)

Tekton.v2.4.0.4-ISO  1CD(可以用你想要的方式完成绘图,实时监视实体建模的每一个过程,有新的物体、帧和家具库)

WinPlot v1.39 and WinMat v1.2 1CD

SFCAD2006 1CD(空间网架设计软件,简体中文)

SFCAD 2000 使用说明

 

ETU.Wasser.Plus.v1.006.G (饮用水规划CAD)

 

清华结构TUS2002

同济建筑钢结构设计系统MTS5.61 全模块 1CD

浙江大学网架计算 MST2005 1CD(完全解密版本)

浙江大学网架计算 MST2005说明书

MstCAD2004 1CD(空间网格结构设计软件)

MorGain 结构快速设计 2004.15.R1162 1CD

 

Fides DV产品(基于土质技术和钢设计目的市政工程分析应用系列软件):

Fides.ARW.v2006.214.Bilingual 1CD( 基于土质技术和钢设计目的市政工程分析应用的工程工具)

Fides.Bearing Capacity.v2007.302 1CD(承受能力计算)

Fides.Cantilever Wall.v2007.339 1CD

Fides.Drill.v2006.031.Bilingual 1CD

Fides.Earth Pressure.v2007.127 1CD(土地压力系数计算)

Fides.Flow.v2007.100 1CD(基于水文分析技术的工程工具,双语种版本)

Fides.GeoPlanning.v2004.313.Bilingual 1CD

Fides.GeoStability.v2007.339 1CD

Fides.Ground Slab.v2007.144 1CD

Fides.KEA.v2006.023.Bilingual 1CD

Fides.PILEpro.FEM.v2006.221.Bilingual 1CD

Fides Pilepro v2007.144(基于土质技术和钢设计目的市政工程分析应用的工程工具,双语种版本)

Fides.Settlement.v2007.302 1CD

Fides.Settlement2.5D.v2007.144 1CD

Fides.Steel.Members.v2004.244.Bilingual 1CD(钢筋焊接和铆接的计算和设计工具)

Fides.Steelcon.v2008.109 1CD(钢筋焊接和铆接的计算和设计工具)

Fides.Slip Circle.v2007.339 1CD

Fides.TWIST.v2006.031.Bilingual 1CD

Fides.WALLS.v2006.352.Bilingual 1CD(根据EAB, EAU, DIN 1045,DIN 4124标准的进行墙体设计。双语种版本)

Fides.Walls.Dimensioning.v2007.128 1CD

Fides.Walls.FEA.v2006.214.Bilingual 1CD

Fides.Walls.FEM.v2006.352.Bilingual 1CD

Fides.WinIGEL.3D.v2005.329.Bilingual 1CD

Fides.WinTube.v2007.339 1CD

Fides.July.2005.BiLingual-ISO 1CD

软件包括:

       ——————〉FIDES WALLS

       ——————〉FIDES WALLS Dimensioning

       ——————〉FIDES WALLS FEA

       ——————〉FIDES EarthPressure

       ——————〉FIDES Flow

       ——————〉FIDES SlipCircle

       ——————〉FIDES BearingCapacity

       ——————〉FIDES KEA

       ——————〉FIDES Settlement

       ——————〉FIDES Settlement2.5D

       ——————〉FIDES PILEpro FEM

       ——————〉FIDES WinIGEL Plus

       ——————〉FIDES WinTUBE

       ——————〉FIDES STeelCON

       ——————〉FIDES TWIST

       ——————〉FIDES Angular Retainment Wall

       ——————〉FIDES GeoPlanning

       ——————〉FIDES SteelMEMB

--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------
 

DLUBAL产品:

Dlubal.Rstab.v5.15.001.MultiLanguage-ISO  1CD(3D结构分析与计算软件包,支持平面及空间结构的应力,支撑力,变形计算等等)

Dlubal.Rfem.v2.01.643.Bilingual-ISO 1CD(用以分析由平板、叶片、墙壁等构成的二维和三维结构

                                         中变形、内应力、支撑力和接触面压强的FEM程序)

SOFTTECH产品:

Struds.2009.v4.0.0 1CD(结构化CAD分析和设计软件,它提供了集成的建模,分析,设计和作图环境)

 

Sigma Design产品:

ARRIS.v9.2 1CD(能够帮助建筑设计师和专业人员提高工作效率和节省工作时间的软件,全新的专业软件)

BuildersCAD.v9.1 1CD

 

Imbsen产品:

Imbsen CAPP v1.0.5 1CD(全功能的2-D报告推覆方案具有独特和前所未有的分析和建模能力)

Imbsen Winabud v4.0.2 1CD(桥台设计)

Imbsen WinBDS v5.0.3 1CD(分析或设计正交桥框架,适用于大多数的桥梁混凝土今天正在设计)

Imbsen WinCSD v2.0.0 1CD(混凝土截面设计)

Imbsen WinFAD v5.0.0 1CD(基脚分析与设计)

Imbsen WinNFAD v2.0.0 1CD(非线性分析与设计)

Imbsen WinRECOL v5.0.2 1CD(钢筋混凝土柱分析和设计软件)

Imbsen.WinSEISAB.v5.0.7 1CD(桥的地震分析软件)

Imbsen XTRACT v3.0.8 1CD(计算任意截面的应力应变)

 

For IPA产品:

CASA Multi-Beam 2D v1.1 for iOS 1CD

CASA Plane Frame 2D v1.1 (Engineering Apps for iOS) 1CD

CASA Plane Truss 2D v1.3 for iOS 1CD

CASA Space Frame 3D v1.3 (Engineering Software for iOS) 1CD

 

DICAD Systeme GmbH产品:

DICAD Strakon S v2010 SP1 MultiLanguage-ISO 1CD(CAD制图)

 

Graphisoft产品:

Graphisoft.Archicad.14.International.Win32-ISO 1DVD(国际版,世界顶级建筑设计软件)

Graphisoft.Archicad.13.International.Win64-ISO 1DVD

Cadimage.Tools.3D.Profiler.Tools.v11.2.For.Archicad.11

Cadimage.Tools.Accessory.Tools.v11.3.For.Archicad.11

Cadimage.Tools.Door.And.Window.Builder.v11.3.For.Archicad.11

Cadimage.Tools.Key.Notes.v11.2.For.Archicad.11

Cadimage.Tools.Revision.Manager.v11.3.For.Archicad.11

 

Graphisoft.ArchiCAD v10 简体中文正式版+中文使用教程-ISO 1CD

Graphisoft.ArchiCAD.v10.Hotfix.1188.Build.2594.Update.Only 1CD

GraphiSoft.ArchiGlazing.v1.7.for.ArchiCAD.10.Bilingual-ISO 1CD

 

Graphisoft.ArchiCAD v9.0 国际版-ISO 1CD

GraphiSoft ArchiCAD v9.0 Student.Edition.With.Training.Guide.WINMAC-ISO 1CD(MAC版本)

GraphiSoft ArchiGlazing for ArchiCAD v9.0 1CD(能够让建筑师们在自己的设计当中包括和添加一些窗户样式的设计)

GraphiSoft DuctWork for ArchiCAD v9.0 1CD(ARCHICAD V9.0的插件,使用户能快速高效率地进行HVAC建模)

Graphisoft.MEP.Modeler.v12.Build.2327 1CD

Cadimage.Tools.v9r2.and.Library.Plugins.For.ArchiCAD.9 1CD(ARCHICAD 9插件集合)

Cigraph ArchiStair v1.12 for ArchiCAD v10 Multilanguage 1CD

GraphiSoft CYMAP CADLink v9.2 R2 1CD(建筑设计仿真软件)

Cubus cedrus v4.0 1CD(框架结构分析)

Cigraph.Plugins.For.Archicad.v10.Multilingual.WinALL 1CD

Cigraph Factory 2005 for ArchiCAD v9.0-ISO 1CD  

AV-Works v2.1 for ArchiCAD 1CD

FrameWright.Pro.v2.9.0.0.For.ArchiCAD.v14 1CD

Graphisoft.EcoDesigner.v14.0.0.3004.For.ArchiCAD.14.Win32 1CD

Graphisoft Archiglazing v1.7 for ArchiCAD 8.1(一款ArchiCAD 8的附件。能够让建筑师们在自己的

                                              设计当中包括和添加一些窗户样式的设计)

GraphiSoft DuctWork v1.2 for ArchiCAD v8.1 Hybrid-ISO 1CD(一款ArchiCAD 8的附件。能够让建筑师们在

                                                          自己的设计当中包括和添加一些窗户样式的设计)

MaxonForm.v9.103.For.ArchiCAD-iSO 1CD(虚拟建筑软件,MaxonFormTM与ArchiCAD之间的无缝整合,顺应了当今

                                       建筑界最显著的潮流——有机体建筑设计)

Meteonorm.v5.1.Multilingual 1CD(将实测的气象资料转换格式)

Bizprac ToolBox Pro v5.08 1CD(一款最好的建筑工业软件。ToolBox软件能够为澳大利亚建筑工业提供强大的和

                              用户友好的商业解决方案,节省你的时间和金钱)

EliteCAD.Architektur.v10.1.incl.SP1.Multilingual 1CD

3rd.PlanIt.v9.03.003  1CD (一款先进的轨道设计辅助工具)
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

Aplus v11.113 1CD

Bobs.Track.Builder.Pro.v0.8.0.3 1CD

GeoTools v12.18 1CD

GSTool.v3.1.276.Bilingual 1CD(为实现BPM而设计的建筑学方面的工具)

Highway Capacity Software - HCS 2000 v4.0 1CD

LPILE Plus v6.0.10 1CD(单桩的2D非线形解析程序)

Novapoint v17.20-ISO 1DVD(公路、隧道设计软件)

Pcv 5.0 for Win98 单机 1CD

Piste v5.05 1CD(道路设计软件)

Pixelplan.Flow.Architect.Studio.3D.v1.4.1 1CD(建筑三维模拟设计软件)

Sandia.Software.Cadrail.v8.02 1CD(道路设计软件,通过本软件你可以自由的画出铁路、建筑等)

Xtrkcad v3.14 1CD(铁路工程设计的CAD软件)

WinTrack.3D.v8.0.4 1CD(建立3D道路交通模型的工具软件)

 

天正建筑 7.5 SP1-ISO 1CD

天正电气 7.5

天正暖通 7.5

天正给排水 7.5

天正插件 7.5

 

博超电气设计EES2000 1CD

 

理正给排水8.02(单机版)

基础及桩基CAD 2003 SATWE接口补丁

理正给排水、设备6.5

理正工具箱4.51

理正基础CAD 2.3

理正桩基CAD 2.7

理正深基坑5.3 1CD

理正基坑5.04

理正岩土计算5.6(所有模块都好用)

posted @ 2012-07-10 16:44 林玉 阅读(447) | 评论 (0)编辑 收藏

Design Data产品:

Design Data SDS/2 v7.025 1CD(钢结构详图)

 

Acecoms产品:

Acecoms Gear2003 v2.1.rev5 1CD

 

Data Design System Suite(DDS)产品:

Data.Design.System.DDS.CAD.v7.2.MultiLanguage-ISO 1DVD

Data.Design.System.Suite.v6.32.Multilanguage-ISO 1CD

DDS Arcpartner v6.4 1CD(建筑设计CAD软件) 

DDS Construction Partner v6.4 1CD( 建筑工程的规划系统,用于各种设计的初期规划,文档的修改等)

DDS.HousePartner.v6.4-ISO 1CD

DDS.Partner.v6.34-ISO 1CD(基于电脑设计和计划的建筑工具)

DDS.Partner.Base.v6.34.Multilingual 1CD(建筑工程学方面的软件,数据设计软件,主要用于与其他软件的协作)

DDS.Partner.Building.Services.v6.34.Multilingual 1CD(建筑工程方面的CAD软件)

 

ENGINEERING DYNAMICS, INC.产品:

EDI.Sacs.v5.3.SP1.Build1-ISO 1CD(海洋平台结构分析软件)

 

EngiLab产品:

EngiLab.Rod2D.v1.00.104.WinALL 1CD

 

SAM-LEAP产品:

SAM-LEAP5.v5.10D-ISO 1CD(桥梁设计软件,符合AASHTO、AUSTROADS 和 BS5400 规范)

SAM-LEAP Classic v6.2.4 1CD(桥梁设计软件)

 

TDV产品:

TDV RM 2004 v9.15.03 1CD(建筑和桥梁工程软件)

TDV Rm SpaceFrame 2004 v9.0 1CD(建筑工程和桥梁工程软件,4维的静态和动态设计分析工具。用于钢筋混凝土和复合结构模型)

 

UniSoft产品:

Unisoft Unibear v1.2 1CD

Unisoft Uniphase v2.1 1CD

Unisoft Unipile v4.0 1CD

Unisoft Uniplot v2.1 1CD

Unisoft Unisettle v3.0 1CD

Unisoft Unitest v3.2 1CD

 

Trimble产品:

TRimble Terramodel 10.60 & 10.61 Update-ISO 1CD

 

Radimpex产品:

Radimpex Tower v6.0 Build 1410 1CD(静态和动态的结构分析,水泥,钢材和木材的设计)

Radimpex ArmCAD v2005UE Build 1730 1CD

 

FE-DESIGN产品:

FE-Design Tosca Structure v6.2.1 1CD(用于有限元模型的非参数结构优化,稳定快速的算法,并且支持多种用户界面。适用多种操作系统)

FE-Design Tosca v5.0 for LINUX 1CD

 
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

C.A.T.S产品:

Cats 2002 incl update 203 and CatsCalc R2-ISO 1CD((用于建筑设计中加热、通风、管道、电工等等系统的设计及优化)

 

UGMT产品:

UGMT buildingEXODUS v4.0 WiN32 1CD(应用于建筑、海上作业、航空航天领域的消防安全分析设计软件)

 

Bentley产品:

Bentley Architecture V8i 08.11.07.77 1CD(提供了直觉化的使用者介面,强大的专业工具,以及符合建筑专业需求的

                                         标准零件库,在整个由设计概念到施工图的流程中,随时产生您需要的书图报表)

Bentley.Architecture.Dataset.GB.UK.V8i.v8.11.05.54 1CD

Bentley.Architecture.Dataset.US.V8i.v8.11.05.54 1CD

Bentley.AutoPLANT.Plant.Design.V8i.SELECTseries.3.v8.11.8.123.Win32 1CD(三维工厂设计软件)

Bentley.AutoPLANT.Plant.Design.V8i.SELECTseries.3.v8.11.8.123.Win64 1CD

Bentley AutoPLANT 2004 Edition 中文版 1CD

Bentley AutoPlant 97 EQUIPMENT_(中文用户手册)

Bentley.AutoPLANT.Plant.Design.XM.08.09.03.30 1CD

Bentley.AutoPlant.Structural.v08.06.00.11 1CD((厂房等建筑)结构的设计, 分析, 与资料归挡工具;

                                              用于钢材, 混凝土, 土木工程等结构)

Bentley AutoPIPE V8i (SELECTseries 2 Maintenance Release 2) v09.03.02.05 1CD

Bentley AutoPIPE V8i 09.02.03.02 1CD(管道设计与分析软件)

Bentley AutoPIPE V8i 09.01.01.04 CHS 1CD(中文版)

Bentley AXSYS.Engine.v08.09.03.53 1CD

Bentley AXSYS.Integrity.v08.09.03.53 1CD

Bentley AXSYS.Process.v08.09.03.53 1CD

Bentley.Building.Electrical.Systems.XM.v08.09.04.12 1CD(建筑电气系统,须先安装Triforma XM v08.09.03.XX)

Bentley.Building.Mechanical.Systems.XM.v8.09.04.76 1CD(建築設計、工程與分析系統,需选安装

                                                        MicroStation.TriForma.v8.05.03.70)

Bentley Cadastre V8i 08.11.07.15 1CD

Bentley Cadastre v08.11.05.08 1CD

Bentley.Cadastre.v08.09.04.71.for.Microstation.XM 1CD

Bentley.CADScript.V8i.v08.11.05.14 1CD

Bentley Civilstorm SELECTseries2 V8i 08.11.02.65 1CD

Bentley CloudWorx v03.00.01.49 1CD(将3D激光扫瞄所完成的测量数据汇入MicroStation及AutoCAD,使得您能在CAD

                                   程序中参照完整而精确的3D测量数据,快速的进行您的设计工作)

bentley CulvertMaster 03.03.00.04 1CD

Bentley Descartes V8i 08.11.07.100 1CD

Bentley.Microstation.Descartes.v8i.XM.v8.11.05.30 1CD(地图绘制、视觉化以及图形矢量化的专业解决方案)

Bentley Electric V8i v08.11.07.56 1CD

Bentley Explorer 2004 Edition v8.5 1CD(模型浏览)

Bentley FlowMaster V8i v08.11.00.03 1CD

 

Bentley HVAC v8.05.02.20 1CD(在MicroStation TriForma V8工程模块下的先进 HVAC 管线配置系统)

Bentley HVAC 2004 1CD(在 MicroStation TriForma V8 工程模块下的先进 HVAC 管线配置系统)

 

Bentley HAMMER V8i v08.11.01.32 CHS 1CD(中文版)

Bentley.HAMMER.V8i.v08.11.01.32 1CD(水锤和瞬态分析软件)

 

Bentley Geopak Rebar v08.08.03.37 1CD(加固混凝土设计和工程安排工具)

Bentley Geopak Civil Engineering Suite v8-2004 Edition 1CD (关于城市建设方面,具有桥梁、排水系统、道路、等模板)  

Bentley.Geopak.Civil.Engineering.08.08.02.40 1CD

Bentley.GeoGraphics.v8.05.01.09 1CD(将MicroStation工业标准资料的获取及编辑工具, 完整的资料库界面

                        及空间分析功能完美地结合在一起,提供制作、管理与发布地理工程咨询完整功能的作业平台)

Bentley.Ifill.v08.09.04.02.For.Microstation.XM 1CD

Bentley InRoads 2004-ISO 1CD

Bentley.InRoads.Suite.2004.Edition-ISO 中文版 1CD

Bentley.InRoads.Suite.v8i.XM.v8.11.05.47 1CD(土木工程项目的完整解决方案)

Bentley.InRoads.Suite.XM.Edition.v8.09.03.06 1CD

Bentley InRoads Group v8.11.05.47 1CD

Bentley.Civil.Extension.For.InRoads.XM.v08.09.06.30 1CD(世界级领先的土木工程基础设施设计软件解决方案)

Bentley.IRASB.XM.v08.09.04.59 1CD(专门处理文件转换、变更及复原的工具)

Bentley.Jpeg2000.Support.for.MicroStation.v2.0 1CD(BENTLEY MicroStation的插件)

--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------
 

Bentley.Map.v8i.XM.v8.11.05.49 1CD(全功能地图(GIS)制作工具,包含绘制、计划、设计、建立等制作需求的管理功能,需安装Triforma XM v08.09.03.XX软件)

Bentley.MAPscript.XM.v08.09.04.04 1CD

 

Bentley.MicroStation.J.v07.01.05.03 1CD

Bentley.Microstation.Triforma.XM.v08.09.04.92 1CD (是易用高效的建筑模型软件,它为建筑设计和绘图

                                                    生产提供了先进的、完整的途径)

Bentley.Generative.Components.v8i.XM.v8.11.05.36 1CD

Bentley.Generative.Components.v08.09.05.50.for.Triforma.XM 1CD

Bentley MicroStation TriForma v8.2004 Edition 1CD (是易用高效的建筑模型软件,它为建筑设计和         

                                                         绘图生产提供了先进的、完整的途径)

Bentley MicroStation (SELECTseries 2) V8i 08.11.07.443 1CD(用以作为建筑、土木工程、交通运输、

                                            厂房设计、各式的生产设备管理、政府部门、公用事业和电信网络等解决方案的核心)

Bentley.Microstation.2004.v08.05.02.55 1CD(重量级的电脑辅助设计类软件,被广泛的运用与建筑设计、军事、结构设计领域)

Bentley MicroStation V8i 08.11.07.172 SDK 1CD

Bentley MicroStation v8 2004 Edition-ISO  1CD (AUTOCAD功能相当,直接读写 AutoCAD 2004 版 DWG,PDF 直接输出)

Bentley MicroStation v8 中文使用手册

Bentley.Microstation.Architecture.XM.v08.09.04.30 1CD

Bentley.Microstation.GEOPAK.Civil.Engineering.Suite.XM.v08.09.06.30 1CD

Bentley.Microstation.GEOPAK.Site.XM.v08.09.06.30 1CD(为场地设计提供了一个可视化的交互环境,项目面向网站设计版本)

Bentley.Microstation.GEOPAK.Survey.XM.Edition.v08.09.07.28 1CD(为场地设计提供了一个可视化的交互环境,测量应用版本)

Bentley.Civil.Extension.for.Geopak.XM.v08.09.07.28 1CD(须先安装Bentley.Microstation.Architecture.XM.v08.09.04.30)

Bentley.Civil.Enhancements.Roundabouts.for.GEOPAK.v08.09.05.01 1CD

Bentley.Civil.Extension.For.InRoads.XM.v8.09.03.06 1CD

Bentley.Microstation.Geopak.Civil.Engineering.Suite.XM.v08.09.07.28 1CD

Bentley.MicroStation.GeoGraphics.v8.05.02.11 1CD 

Bentley MicroStation GeoGraphics v8.2004Edition 1CD(将MicroStation工业标准资料的获取及编辑工具,

                                                    完整的资料库界面及空间分析功能完美地结合在一起 )

Bentley.Microstation.Prerequisite.Pack.v8.09.04.01 1CD

Bentley.Microstation.Structural.XM.v08.09.04.39 1CD

Bentley.MicroStation.Web-Drop.v8.05.02.09 1CD

Bentley.Microstation.XM.v8.09.04.51 1CD(用以做为建筑、土木工程、交通运输、工厂设计、各式的生产

                                        设备管理、政府部门、公用事业和电讯网路等解决方案的核心)

Bentley.Microstation.XM.Architectural.v8.09.02.53 1CD(辅助设计类软件,运用于建筑设计、军事、结构设计领域)

Bentley.Microstation.XM.Google.toolkit.v8.09.02.77 1CD

 

Bentley MX 2004 Edition 1CD(提供以線串(string)為基礎的土木工程解決方案,涵蓋道路、鐵路與整地設計)

Bentley MX V8i United Kingdom 08.11.07.246 1CD

Bentley MX International v08.05.00.80-ISO 1CD

Bentley MXroad V8i (SS1) 08.11.07.246 1CD

Bentley MX Tools V8i XM Edition 08.09.04.40 1CD

 

Bentley OnSite V8i 08.11.05.28 1CD

Bentley OpenPlant PowerPID v8i 08.11.05.27 1CD

Bentley.Parametric.Cell.Studio.v8.05.03.13 1CD

Bentley PlantFLOW v06.01.00.05 1CD

Bentley.PlantSpace.Design.Series.XM.v08.09.04.34 1CD

Bentley SupportModeler for PlantSpace v8i 08.09.04.13 1CD

Bentley.PlantWise.XM.v8.09.04.00 1CD

Bentley PondPack V8i 08.11.01.54 1CD

Bentley PondPack v10.01.04.00 1CD

Bentley PowerDraft Database Server v8.05.01.25 1CD

Bentley Power InRoads V8i 08.11.07.246 1CD

Bentley.Microstation.Powerdraft.v8i.XM.v8.11.05.17 1CD(适用于各个领域的重要 2D 绘图工具)

Bentley.PowerSurvey.for.Powerdraft.XM.v08.09.06.30 1CD(测量数据的管理方案)

Bentley PowerCivil for Spain V8i 08.11.06.27 1CD

Bentley.PowerCivil.v08.09.05.36.for.Powerdraft.XM 1CD

Bentley.PowerMap.V8i.v8.11.05.18 1CD

Bentley.Process.and.Instrumentation.v08.06.00.14 1CD(生产流程规划与仪器仪表控制管理系统软件)

Bentley ProSteel 3D v18 and Proconcrete 3D v18 1CD

Bentley ProStructures V8i 08.11.02.23 & Update 2 for AutoCAD 1CD

ProSteel 3D v8i (08.11.00.11) for AutoCAD 2004-2009 1CD

ProSteel 3D v18 for AutoCAD 2006 1CD(汉化中文版)

ProSteel 3D 中文使用入门

 

Bentley.Rebar.XM.v08.09.05.27 1CD

Bentley RAM Advanse v09.00.00.04 1CD

Bentley.Redline.XM.v08.09.04.51 1CD(对于开发小组中浏览,标注,缩放打印的解决方案。主要针对DGN和DWG文件)

Bentley.Revit.Plugin.V8i.XM.v8.11.05.26 1CD

Bentley RM Bridge(TDV) V8i 08.09.78.01 1CD

Bentley.SewerCAD.v8i.08.11.00.52 1CD

Bentley.SewerGEMS.V8i 08.11.02.46 1CD

Bentley.Speedikon.Architectural.v07.06.00.07 1CD(强大的2D/3D CAD系统)

Bentley.speedikon.Architectural.v08.09.00.31.for.MicroStation.XM 1CD

Bentley.speedikon.Industrial.v07.06.00.07 1CD(强大的2D/3D CAD系统)

Bentley.speedikon.Industrial.v08.09.00.31.for.MicroStation.XM 1CD

Bentley.speedikon.Project.Explorer.v7.6.01 1CD(工程数据查看和管理工具)

Bentley.SormCAD.XM.v05.06.012.00 1CD(用于分析和设计城市下水道系统的软件)

Bentley Staad.Pro v8i (20.07.04.12) 1CD(土木結構分析)

Bentley.Staad.foundation v8i Release 5.2 1CD

Bentley STAAD Chinese Steel Design Code (SSDD) v20.07.07.02 CHS 1CD

Bentley.StormCAD.v8i.08.11.02.35 1CD

Bentley Structural 2004 1CD(具有创造、计算、产生文件及分析复杂的钢构、木架构柱及混凝土结构的能力)

Bentley.Structural.V8i.XM.v8.11.05.50 1CD(结构设计所建立的模块,针对于包括钢构, 混凝土,木架构等

--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------
                                          多种不同之结构系统进行结构设计,建立分析模型及产生计算报表)

Bentley.Tas.Simulator.v8i.v9.01.03.01 1CD

Bentley TriForma v8.05.04.18 1CD(针对建筑及整厂设计需求所提供的工程模块)

Bentley.TriForma.IFC.2x.Interface.v8.05.02.17 1CD

 

Bentley Visualization Enhancements 2004 1CD(MicroStation上的RPC插件。用于在3D场景中添加“真实”的人、树等)

Bentley Wastewater v8.5 1CD

Bentley.WaterCAD.XM.v08.09.400.34 1CD(给水管网建模与管理工具)

Bentley WaterGEMS V8i (SELECT series 2) 08.11.02.31 1CD

Bentley.WaterGEMS.XM.v08.09.400.34 1CD(综合易用的给水管网建模解决方案)

Bentley Winnozl v03.01.08 1CD(设备/管嘴连接点处的局部应力分析)

Prerequisites for Bentley Desktop Applications v08.11.07.03 1CD

Staad China 2001 1CD(除SSDD外,其他都好用)
   Staad China 2004中文帮助
   ReiWorld Staad Beam v2.0(是STAAD结构分析和产品设计的最新产品。它能够设计合成,非合成或者部分合成的钢梁结构)
   Terrasolid for Microstation v8i v011 1CD

Drain 2DX 源程序 1CD

 

RAM Connection 05.00.01.43 1CD

RAM Elements V8i v10.00.01.38 1CD

RAM Structural System v8i Release 14.00.01.00 1CD(帮助建筑工程师提高生产效率,达到更好更快的产出)

RAM Concept v1.2-ISO  1CD(RAM International 和 SCS Inc.(出产软件 SCS FLOOR)的合作产品,有了 RAM Concept,

                          工程师可以快速的设计整个复杂的楼板或者基础,无论气有多复杂,软件可以快速的解决踏步、

                          留洞、复杂的荷载等等一系列不规则的设计。软件为混凝土结构设计节约了大量的时间)

 

 

AutoDsys产品:

AcceliCAD 2010.v6.6.26.25A-ISO 1CD(包含极角追踪,浮动快捷菜单,平视键盘显示和32bit全彩用户界面)

 

Bricsys相关:

Bricscad.Platinum.v11.2.9.21764 1CD

BricsCAD IntelliCAD Pro v4.1.0040 1CD

BricsCad.Structural.Frames.v2.1.0004 1CD

BricsCAD Architecturals v4.0.0008 for IntelliCAD 1CD

BricsCAD Architecturals v4.1.0015 for AutoCAD 1CD

posted @ 2012-07-10 16:44 林玉 阅读(337) | 评论 (0)编辑 收藏

Toon Boom Animate Pro 2 v7.9.1 1CD

Toon Boom Studio v6.0 1CD

UtahSoft Insta3D Pro v2.6 Working 1CD

Vicon Blade v1.7 1CD

Visiual Design 5.9.261-ISO 1CD

VirtualMEC.v1.5.1 1CD(基于openGL-API应用在构建Meccano玩具的独立的3D CAD软件)

3DVIA VIRTools DEV v5-ISO  1DVD (VR开发工具)

VirTools 电子教程

VRMesh v2.5 1CD(三维建模软件)

 

3D-Doctor.v4.0.20101105.WinALL 1CD(先进的三维成像软件,立体渲染,快速而方便地显示3D图像)

3DVR教程

 

Borland产品:

Borland Together for Microsoft Visual Studio NET v2.0 1CD(通过紧密集成Visual Studio .NET 环境与以设计为

                                                          中心的解决方案,加速应用开发生命周期)

-+设计、工作流程、产品过程管理+-:

~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~

E-Tools.E-Studio.Pro.v4.42.029a(可视化的设计和管理电子工程文档的软件,支持EdaXML, EDIF, OrCAD等文件格式)

E-studio.ProHDL.2003.v4.30.003.WinAll 1CD(可视化的软件)

IDS.ARIS.Design.v6.2.3.Multi-ISO 1CD(业务流程设计平台)

Parts & Vendors v6.0 1CD

ProPlan v3.6 1CD(生产计划模拟软件)

StateCAD v5.01N 1CD

VGStudio.Max.v1.2.1.333 1CD(业界具有领导地位的voxel数据可视化和分析系统,从现代医学或工业CT扫描器

                             获得数据,或者从石油(气)开采工业甚至任何3D图像设备获得数据。真实的交

                             互渲染和实时的3D图像处理,数据最多可达GB级)

3D.Box.Maker.Professional.v2.1.012006.24.Retail 1CD(设计包装合子的软件) 

梦龙2000 1CD

智通施工组织设计3.0 1CD

 

Enterprise Dynamics产品:

FlexSIM.ED v4.0 1CD(生产排程,虚拟管理,能力平衡软件)

FlexSIM v4.0 1CD

Flexsim 中文教材共16章(包含设施规划原理分析)

 

Anylogic v5.5 1CD(物流仿真软件)

Anylogic 中文用户手册

 

LCA产品:

SimaPro.v7.1.8.Multilingual 1CD(流程管理软件)

 
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

Promodel产品:

Promodel v4.22 Full 1CD(生产系统建模与仿真软件包)

 

P3系列工程管理软件:

Primavera Project Management P6 Release 8.1 1CD(项目管理)

Primavera.v6-ISO 1CD

Primavera.P3e-c.for.Construction.5.0-ISO 3CD(多语言版,包含中文版)

Primavera Project Planner V3.1-ISO 1CD(中文汉化版,施工总进度设计软件)

Primavera.Project.Management.v4.1+SP2-ISO 2CD(p3.ec.4.1.企业级项目管理软件)

Primavera.Project.Management v4.1 SP3 Build Number40000018

Primavera.Project.Management v4.1 SP3 Build Number40000025(基于Build Number40000018)

Primavera Developement Kit v3.0 1CD

Primavera TeamPlay Client v2.9.44 1CD

Primavera Expedition v10.1-ISO 2CD(投资控制,合同事务管理软件,多语言版)

Project Engine Server And Client Enterprise Edition v2007.7 1CD(项目管理辅助程序,包括服务器和终端两部分。它运行在JAVA 2平台之上)

Project Engine Server And Client Enterprise Edition v2007.7 Linux 1CD

 

Rib.Construction.Suite.v12.3.176-ISO 1CD((德鲁班工程管理软件)是一个创新的、强大的、灵活的,并且完全整合的成本管理系统。

                                          其中的模块结构可以让你选择你所需的软件来处理你的项目和成本管理)

Crystal.Reports.XI.v9.Developer.Edition-ISO 1CD(中文版,水晶报表)

Crystal.Reports.XI.v11.Developer.Edition-ISO 1DVD(水晶报表)

Crystal.Reports.XI.v11.Developer.Edition-ISO 1CD

SAP.Business.Objects.Enterprise.XI.v3.1.&.SP2-ISO 2DVD(企业绩效管理解决方案)

 

CYCO AutoManager产品:

AutoManager WorkFlow v6.3 1CD

 

CyberMetrics产品:

GAGEtrak.v6.12 1CD(计量工具校准管理软件)

 

Matrix42产品:

Empirum Pro 2005 PFP R2 HF1 v10.5.1 Multilanguage-ISO 1CD(PC-生命周期管理)

 

MatrixOne v10.5 WinALL 1CD(MatrixOne公司研制的全球第一套为产品开发过程的整个团队提供完善的电子业务解决方案

                           的软件和服务系统,您能够建立、管理、获益于分布式存储的复杂多变的信息.您可以存储和

                           使用从任何应用系统产生的数据,包括CRM、ERP、CAD、软件管理和办公系统)

MatrixOne v10.5 WinAll Manual Addon 1CD(帮助文件)

 

Proclarity产品:

Analytics.PlatForm.Client.v6.0.Professional-ISO 1CD(计算机辅助决策软件)

 

INVENSYS产品:

Invensys Simsci Dynsim v4.0 1CD(功能全面的工业控制软件,可以让您动态的监视和控制过程,从而达到要求,并保证安全和效率)

 

Oncenter Software产品:

速达E.net总部版 v2.86 1CD

速达3000.NET v7.52 Build 20050509 单机版 1CD

速达3000.NET v7.52 Build 20050509 网络版 1CD

速达3000.NET.v7.51.Build.20050321.网络版 1CD

速达3000XP-SQL.v7.51单机升级版 1CD

速达3000XP 网络版7.0原版 1CD(10用户网络版)

速达3000XP v6.51 Build 20030527+v652升级补丁 (网络版) 1CD

速达3000 PRO 企业完全解密版 1CD
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

速达E2Pro v4.4 Build 20031230 网络正式版 1CD

速达医药管理单机版 1CD

 

速达5000 工业单机版 1CD

速达5000 工业单机版补丁 1CD

速达5000 商业单机版 1CD

速达5000 v2.33 商业版 1CD

速达5000 网络商业破解版 1CD

速达5000 工业网络破解版 1CD

 

金蝶K3 v10.4-ISO 3CD

金蝶2000XP SP1 1CD

金蝶2000 标准版 v7.0 1CD

金蝶KIS专业版9.0 1CD(完美破解版)

金蝶KIS财务软件完整版-ISO 1CD(金蝶针对小型企业推出的集成财务软件)

管家婆辉煌版2005网络版(255用户) 1CD

用友U8 v8.61 2CD

用友U860各模块使用说明

ORACLE 9I R2 Windows-ISO 3CD

Oracle 10.2.0.4 Linux 1DVD

Oracle 11i For Linux-ISO 1CD

QuickBooks.Premier.2007 英文正式版-ISO 1CD(財務管理全功能版)

 


 

 

 

IEZ Speedikon MI Industriebau v6.5.47 BiLiNGUAL 1CD(一个用于民建设计的高性能CAD软件)

IEZ Speedikon MI Industriebau v6.5.47 Addon 1CD

 

PCA产品:

PCA COL v2.0 1CD

PCA spBeam v3.11 1CD(分析,设计和研究钢筋混凝土楼板系统中的横梁和(单向混凝土板))

PCA spColumn v4.50 1CD(为设计和调查的矩形,圆形,不规则混凝土柱包括瘦身的效果)

PCA spFrame v1.50 1CD

PCA spMats v7.02a 1CD(为分析,设计和调查的基础垫,加上底座,和地砖上等级)

PCA spSlab v3.11 1CD(建筑相关的分析软件,可以帮助你分析建筑的相关情况)

PCA spWall v3.60a 1CD(进行分析,设计和调查的钢筋混凝土预制件, ICF的,倾斜了墙壁)

 

DIGITIAL CANAL产品:

Digital Canal Concrete Beam v2.0 1CD(用来设计单通道的弯曲的构件,包括横梁,平板和托粱等)

Digital Canal Concrete Column v2.3 1CD(设计混凝土圆柱的轴向拉力,压力和二轴力矩)

Digital Canal Frame v16.0F SR3 1CD(唯一完整整合AutoCAD的结构工程软件。主要包括多种构件(非棱镜,
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

                                   线缆,拉力,接触构件塑胶弹性杆),通过AutoCAD实现无限的图像

                                   编辑能力,综合了钢铁和伸展立足点等的编辑功能)

Digital Canal Masonry Wall v5.8 1CD(石墙设计软件)

Digital Canal Multiple Load Footing v4.5 1CD(定义出梯形的、带状的或矩形的脚柱的多种负重)

Digital Canal Quick Wall v5.7 1CD(保留墙设计软件,同时拥有卓越的精确性)

Digital Canal Spread Footing v2.3 1CD(圆柱体设计软件,可以同时处理10个圆柱体还可以把某个圆柱体

                                       的设定应用到其他圆柱体上,同时也有简单的报告生成系统)

Digital Canal Versaframe v2.0 Build 379 1CD(完整的CAD分析设计程序,不需要AutoCAD的支持即可运行)

Digital Canal Wind Analysys v6.8 1CD(用来快速计算风压、风力和分析任何尺寸和种类的结构的工程软件)

 

Engineered Software产品:

Engineered Software Pump Flo 2004 v9.0 1CD(评估离心泵(抽水机)的软件)

ScrewPUMP v2.0 1CD

 

DataCAD LLC.产品:

Datacad v11.05.00 WinALL 1CD(专业的CAD结构设计软件)

DataCAD.v11-ISO 1CD(用于建筑设计的专业水平的A/E/C CADD程序,可提供如同相片一样真实的画质,创建动画及文档)

 

Dimensional Solutions, Inc.产品:

Dimensional Solutions Combined3D v3.5.0 1CD

Dimensional Solutions Foundation3D v3.4.0 1CD

Dimensional Solutions Mat3D v3.4.0 1CD

Dimensional Solutions Shaft3D v2.40 1CD

 

LEAPSOFT产品:

Leap SoftWare Axsys v4.1.0 1CD(预制多层柱-板结构分析与设计)

Leap SoftWare Conspan v3.10 1CD(分析[简单/连续]的[预制/现浇]钢筋混凝土桥梁)

Leap SoftWare Presto v8.6.1 1CD(预制/预应力混凝土梁设计和分析)

Leap SoftWare Consplice v1.2.2 1CD(接头处的设计)

LeapSoft Conbox v7.0.1 1CD(混凝土和钢桁箱式结构桥梁的预应力进行全面分析和设计的工具)

LeapSoft Conspan Rating v7.0.1 1CD(桥梁工程软件,用于桥梁基础AASHTO标准和LRFD分析的整套工具)

LeapSoft Consys v1.3.0 1CD(活载和恒载分析)

LeapSoft Geomath v7.0.0 1CD(加固钢粱设计较长桥梁跨度)

LeapSoft RC-Pier v7.0.0 1CD(桥梁工程软件,针对混凝土桥梁底部结构与基础的强化设计应用)

 

LUSAS产品:

LUSAS FEA v14.1-ISO 1CD(建筑、桥梁工程分析软件,包括振型、地震、动力、大变形、疲劳分析。

                         适用于各种桥梁的设计、分析和估价,包括覆盖简支梁桥到悬索、斜拉桥)

LUSAS.FEA.v13.Documentation 1CD

 

ALAN A. SMITH INC.产品:

AAS Miduss v2.2 1CD(windows下的排水管设计软件)

 

AceCAD产品:

AceCad StruCad v11.0-ISO 1CD(全球领先的 3D 钢结构详图设计系统的最新软件版本)

AceCad StruCad v10.0 Manuals 1CD(英文用户手册)

AceCad StruCAD 中文帮助文件

 

通风,空调,供热计算:

Air Humid Handling v2004 Multilingual 1CD(计算各种空气处理过程的软件)

 

EliteSoftware产品:

Elite Software Audit v7.02.35 1CD(供热及制冷量进行计算的软件)

Elite Software Chvac v7.01.45 1CD(计算商业建筑供热和制冷负荷峰值的软件)

Elite Software DuctSize v6.01.224 1CD(风管测算软件)

Elite Software DPipe v2.00.18 1CD(为公共卫生设施设计排水系统和通气管系统,支持差不多无限数量的管道剖面)

Elite Software E-Tools v1.01.19 1CD(电气计算和数据查询软件)

Elite Software ECA v4.0.22 1CD(能在给定的热泵 、土壤以及气候条件下,快速计算建筑物采暖、制冷所需要的循环管道的长度)

Elite Software ECoord v3.0.19 1CD(熔丝和短路器的合成软件)

Elite Software Fire v6.0.189 1CD(美国消防协会所要求的消防系统的水力计算软件,支持所有类型的消防系统)

Elite Software GasVent v2.09.19 1CD(能够快速地为燃气设备计算通风管道的大小)

Elite Solfware HTools v3.2.16 1CD(专为供热通风与空调工程,给排水工程,防火工程等设计的软件)

Elite Software Hsym v2.062 1CD(用来对中央采暖通风与空调的冷热水管道系统进行分析)

Elite Software PsyChart v2.01.35 1CD(焓湿图工具,将完整的焓湿图显示在屏幕上,可以计算各种空气处理过程)

Elite Software Quote v2.0.39 1CD(HVAC估价和销售软件)

Elite Software Rhvac v8.01.202 1CD(是计算建筑物尖峰值制冷和制热负荷的软件,同时确定房间的送风量以及风管尺寸)

Elite Software Refrig v3.00.67 1CD(计算建筑内24小时的制冷负载,适合于所有制冷方面的应用)

Elite Software SPipe v2.00.26 1CD(SPIPE是计算居住和商用大楼内部热水和冷水供应系统的最佳管径的程序)

 

CivilTech产品:

CivilTech Allpile v7.3B Full 1CD(有限元分析工具:用于分析各种不同材料,不同形状的管,柱)

CivilTech Liquefy Pro v4.5D 1CD(在地震载荷下决定液化域和沉降的软件)

CivilTech Shoring Suite v 8.8P 1CD 1CD(有限元分析工具:用于支撑件的分析设计)

CivilTech Superlog v4.1D 1CD(用于野外钻探,地质勘探的钻探记录,和制作探井图形报表)

--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------
 

Adaptsoft 产品:

Adapt.PT.v8.003 1CD(房屋与停车场结构里的梁、板、楼板系统之先进后拉预力软件)

Adapt RC v5.00.3 1CD(预应力混凝土结构设计计算软件)

Adapt ABI v4.50.3 1CD(专门针对节块工法 (segmentally) 或者传统工法的预力混凝土桥梁之分析与设计的软件)

Adapt.Builder.v1.5-ISO 1CD

Adapt Builder ABI 2009 Plus 2.0 1CD(结构设计和分析,房屋的梁、柱、板等,以及各种预应力结构)

Adapt Builder EX v3.2 1CD(完全整合混凝土墙体、地基、梁柱结构设计和分析工具的合集)

Adapt.Builder.EX.Build3-ISO 1CD(结构设计和分析,房屋的梁、柱、板等,以及各种预应力结构的软件)

 

Nemetschek AG产品:

Nemetschek Allplan v2011.1.5 MultiLanguage-ISO 1DVD

Nemetschek Allplan BCM v2009 MultiLanguage-ISO 1CD(建筑成本管理和计算)

Nemetschek Allplan BIM v2009 MultiLanguage-ISO 1DVD(建筑设计)

Nemetschek.Allplan.Sketch.v2008.0c.Multilingual 1DVD(为建筑师和设计者设计的智能方案)

Nemetschek.Scia.Engineer.v2009.MultiLanguage-ISO 1DVD(结构分析设计)

Nemetschek Vectorworks 2010 SP2 build 116787-ISO 1DVD(是一套支持多平台的专业计算机辅助设计(CAD)软件)

Nemetschek VectorWorks RenderWorks Addon v11.0 WiN32 1CD(著名CAD软件VectorWorks v11.0和RenderWorks v11.0的插件)

Nemetschek PlanDesign v2004.0 MultiLanguage Working 1CD (高级建筑设计草图设计)

VectorWorks.v12.0.1.MAC.OSX-ISO 1DVD

 

EngiLab产品:

EngiLab.Beam2D.v1.81.WinALL 1CD(强力工程工具。EngiLab公司出品的平面捆绑分析软件)

 

posted @ 2012-07-10 16:43 林玉 阅读(762) | 评论 (0)编辑 收藏

Carrara.Studio.v3.0.3 1CD(集建模、动画和渲染工具于一身的完整的3D软件)

Carrera.3D.Basic.v2.1 1CD(易学的界面结合数百中3D对象,结构和地形让你以无法相信的速度产生惊人的3D效果)

 

Pinnacle产品:

Pinnacle Commotion Pro v 4.1 1CD

Pinnacle.Liquid.Edition.v6.1-ISO 1CD

Pinnacle.Studio.Plus.v11.Repack-ISO 1DVD

Pinnacle.Studio.Ultimate.v12-ISO 2DVD(视频编辑)

 

品尼高9.43最新全特效解锁破解简体中文版 2CD

品尼高中文说明书

 

Ulead产品:

Ulead.Videostudio.v10.Plus-ISO 1CD

Ulead.Video.Studio.9.CHS-ISO 1CD(简体中文,会声会影 是一套专为个人及家庭所设计的影片剪辑软件)

 

MatchWare Inc.产品:

MatchWare Mediator v8.0 Exp 1CD(流行于西欧的多媒体制作软件,具有强大的多媒体集成功能)

 

NewTek产品:

Newtek LightWave3D v10 Win32 1CD

Newtek LightWave3D v10 Win64 1CD

Newtek LightWave3D v10 MacOSX32_64 1CD

Newtek LightWave3D v9.0 Content Addon 1CD

NewTek LightWave 3D v8.0-ISO  4CD

NewTek LightWave 3D v8.0 Proper-ISO  4CD

MooTools.PolygonCruncher.v6.6.for.3DS.Max.and.Lightwave 1CD

 

Can Tarcan Dynamite Pro v1.1 for LightWave

Jetstream FX v1.14 for LightWave 1CD(用于Lightwave3D的渲染软件)

Rope Editor Plus v1.01 for LightWave(用于生成各种类型的绳索)

Trueart EasySplit v2.0 for LightWave(用鼠标或是徒手来切开多边形)

Worley Labs FPrime v2.0 for LightWave 1CD

Worley Labs G2 v1.7 for LightWave 1CD(打光以及明暗处理的系统)

WTools LWCAD v2.5 for LightWave 1CD(帮助LightWave增加曲线模式下精确捕捉到点的软件)

 

Brain Innovation B.V.产品:

Brain.Voyager.QX.v1.2.6 1CD(一款高度优化和具友好界面的用来在功能与结构上分析和可视化核磁共振

                             图像数据集的软件包。它可运行于所有主要计算机平台)

Avid Technology产品:

Avid Media Composer 5.5.2 Win32-ISO 1DVD(非线性编辑)

Avid Media Composer v5.5.3 Mac OSX-ISO

Avid.Metasync.v22.1-ISO 1CD(音视频后期制作)

Avid NewsCutter XP v6.7.5-ISO 1CD(非线性编辑)

Avid.SoftImage.3D.v4.0-ISO 6CD

Avid SoftImage Advanced v5.0 1CD

Avid SoftImage Behavior v2.11 1CD

Avid.SoftImage.XSI.v4.2-ISO 2CD(超強3D动画制作工具)

Avid SoftImage XSI Advanced v6.5 1CD

Avid SoftImage XSI Advanced v5.0.1 Linux 1CD

Avid SoftImage Behavior v2.0 1CD(一款可编程的大规模人群模拟仿真和行为动画化系统。通过应用在XSI已经

                                 创造的人物,仅仅一个开发者就足以控制成千上万个人物,并将它们进行渲染)

Avid.XPress.Pro.v5.8-ISO 1DVD(视频编辑)

Avid XPress DV v4.6.1-ISO 1CD

Avid.Express.DV.4.6.MAC.OSX-ISO 1CD

 
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

Side Effects Software产品:

Sidefx Houdini Master v8.2.31 1CD(创建高级视觉效果的终极工具)

Sidefx Houdini Master v8.1.666 Linux GCC32 1CD

 

Pixologic产品:

ZBrush v2.0 1CD(出色的专业三维角色建模软件)

 

Act-3D产品:

Abvent PhotoCAD V1.0-ISO 1CD(全景图像制作软件。你使用它制作的图像就象“本来就是这样子”或与其它的建筑设计或3D场景结合)

3D-COAT v3.3.04 CUDA Win32 1CD

3D-COAT v3.3.04 CUDA Win64 1CD

3D-COAT v3.3.04 SIMP Win32 1CD

3D-COAT v3.3.04 SIMP Win64 1CD

3D-COAT v3.3.04 Linux X86 and X64 1CD

3D-COAT v3.3.04 MacOSX X86 1CD

3D.Shop.Modeldesign.v2.7.WinALL 1CD(立体和平面建模工具)

3D-tool v9.05 1CD(3D立体图制作软件)

3D.World.Studio.v5.47 1CD(3D场景构建软件)

3D动画制作软体.iClone.1.52 1CD

A3DStitcher.v1.0.0.0.WinALL 1CD(全景图像制作软件)

AC3D.v5.0.21.Linux 1CD(3D模型制作软件,可用于游戏、虚拟现实和飞行仿真、科学医疗以及一般的数据可视化)

Acropora 1.0.0045 & Sample Projects 1CD

Bauhaus.Mirage.Studio.Cracked.v1.5a 1CD(制作动画以及特殊效果的套件。适用于电影,视频,广播,卡通/2D动画等领域)

Blacksmith.3D.Suite.v2.2 1CD(强大的3D设计软件,主要为快速绘制和塑造变形物体所设计)

Bonzai 3D v2.0.0.7688 1CD

Boris Red 3GL v3.04 incl Plugins Multilanguage 1CD(一套3D合成、字幕、和特效相结合的非编软件)

 

CAMbridge Animation Systems Animo v6.0 1CD (Animo是第一个集二维、三维动画于一体的软件包)

Ceetron.GLview.Inova.v8.1.1 1CD(先进的三维演示程序)

Converter.Solutions.Easycut.v6.0.5.14 1CD(广告工程专业软件,可用于广告设计、 切割、雕版、镭射、打印等)

Curious.Labs.Poser.v6.0-ISO 1CD(人体三维动画制作软件)

Dartfish TeamPro v5.5.10925.0 1CD(用于捕捉事件持续时间长的视频和标记)

DAZ.Bryce.v5.5 1CD(强大的自然风景3D软件)

DGS Ramsete III v9.05 1CD(设计、修改和润色的工具)

 

Drafix.Pro.Landscape.v11.2-ISO 3CD(专业园林设计(环境设计)软件,包括平面设计、立面效果和整体方案三个模块组成)

 

Electric Image Animation System 7.0.1 1CD(最新3D图像和动画设计系统)

FaceGen Modeller v3.0 1CD(人头建模软件)

Facegen Customizer v1.1.2(人头 及脸部建模软件)

Fast.Plans.v11.WinALL 1CD(绘制建筑、房间等等的布局规划)

FreeWorld3D.v1.1.6 1CD(交互式的三维立体地形地貌生成和编辑软件, 可用于生成从简单的游戏场景到复杂户外环境)

GardenGraphics DynaSCAPE Professiona v3.02 1CD (专业的庭园、园林建筑设计规划软件)

Genemation.GenCrowd.3D.v2.0 1CD(3D面部合成人物建模工具)

Geomatic Studio v10 SR1 1CD(复杂的形体外表建模软件,支持有限元分析和计算流体动力学)

Hash.Animation.Master.2005.v11.1H 1CD(功能强大,简单易用的专业3D图片、动画制作软件)

Hexagon.v2.1-ISO 1CD(3D建模的完整工具)

 

Image-Pro Plus v6.0 1CD(专业图像分析软件)

Inivis.AC3D.v5.0.WinALL 1CD(3D设计软件)

Informatix.Piranesi.v5-ISO 1DVD(空间彩绘专家)

IlluMinate.Labs.Turtle.v1.1.5.2.for.Maya.6.and.6.5 1CD

IsiPlot.v1.3a 1CD

Knoll Light Factory v2.5 1CD(世界上最多使用者的动画绘图工具,主要是模拟光线的效果,称之为”闪耀”)

LandworksCAD v5.50 1CD

LightRay3D v1.3.5 1CD(3D建模、渲染、动画和游戏制作程序)

Luxology MODO v401 Win32 1CD(新型多边形和细分建模软件)

Luxology MODO v401 Win64 1CD

Luxology MODO v401 MACOSX 1CD

Megatech.MegaCAD.2D.v2011 1CD

Megatech.MegaCAD.3D.v2011 1CD(新颖、稳定、集成3D的全功能2D模型绘制系统)

Nexgen.Ergonomics.ManneQuinPRO.v10.2 1CD(为你的产品作人性化设计和评估提供拟人3D模型, 你可以对此人体模型作多种形式变换,进行全方位的模拟验证)

Neo3D v5.04 build 261 Win32_64 1CD

 
--------------东方红行业软件网-----------------
东方红行业软件网,专业行业软件,值得信赖!
为您提供完整版好用软件!竭诚为您服务!
联系人:林女士  电话 TEL:18605591157
QQ: 81635185   MSN: dfhsoft@hotmail.com 
Email: dfhsoft@qq.com     81635185@qq.com
东方红行业软件网     专业决定品质
长期为用户提供各行业软件,欢迎咨询。
-----------------长期有效----------------------

PhotoModeler.Scanner.v5.0.Pro-ISO 1CD(工業用3D建模測量工具軟體)

Planetside.Software.Terragen.v0.9.43.WinALL 1CD(景观产生器)

Smith Micro Poser v8.0 + Video Tutorials-ISO 1DVD(3D 角色动画)

Quest3D VR Edition 4.0.0 1CD(3D、VR、游戏开发)

QuadriSpace.Document3DSuite.Professional.2009.vSP2.1 1CD

 

Right.Hemisphere.Deep.Exploration.CAD.Edition.v6.5.0.Win32_64 2CD

Right.Hemisphere.Deep.Exploration.JT.PMI.Module.v5.0.46.120 1CD

Right Hemisphere.Deep Paint.3D.v2.1.1.4 1CD(可以创作出逼真3D 效果功能强大的图形软件)

Right.Hemisphere.Deep.UV.v1.3.0.9 1CD

Reallusion Iclone Studio 3.0-ISO 1CD

Realviz.ImageModeler.v4.02-ISO 1CD(三维建模)

Realviz.Movimento v1.0 1CD(在后期制作时捕捉任何硬度较小的物体:人的身体,人的面部、动物等等)

RealVIZ Stitcher Unlimited v5.5.1 1CD

Realviz Stitcher v5.1 Multilanguage 1CD(专门用来将几张照片缝合成全景图片,即将平面照及计算机图

                                         变为360 度全观(panaramic) 风景。用于虚拟现实浏览)

SpaceClaim.v2011.Win32-ISO 1CD(先进的工程及产品设计3D直接建模软件)

SpaceClaim.v2011.Win64-ISO 1CD

Spaceclaim.v2009.Plus.SP2 Win32 1CD

SpaceClaim.v2009.Plus.SP2.x86.Catia.v5.Module 1CD

Spaceclaim.v2009.Plus.SP1 Win64 1CD

SpaceClaim.v2009.Plus.SP2.x64.Catia.v5.Module 1CD

SketchUp建筑草图大师 v5.0 1CD

Strata Design 3D CX v6.0.1-ISO 1DVD(三维建模、设计和动画软件)

Strata.3D.CX.MAC.OSX-ISO 1CD(三维建模、设计和动画软件)

Stel.Ekam.TI.Saiumtpole.v5.0 1CD(包含 RenderMan for Maya 和 RenderMan Artist Tools两套

                                     软件产品他们都是为 RenderMan(着色人)这个人物而编制的程序

                                  它们一个是运行于 Maya 中的设计软件,一个是独立运行的系统)

Synchro.Pro.v3.1415.0.0 1CD

Synchro.Server.v3.1415.0.0 1CD

posted @ 2012-07-10 16:43 林玉 阅读(291) | 评论 (0)编辑 收藏

仅列出标题
共7页: 1 2 3 4 5 6 7